ليثوغرافيا فوق البنفسجية القصوى

ليثوغرافيا الأشعة فوق البنفسجية القصوى Extreme ultraviolet lithography والتي (تُعرف أيضاً باسم EUV أو EUVL) وهي تقنية نقش ضوئي (طباعة/صناعة الرقائق وتعرف أيضاً باسم "الاستحداث") باستخدام مجال فوق بنفسجي أقصى (EUV)، والذي يشغل تقريباً 2٪ من عرض النطاق الترددي FWHM حوالي 13.5 nm.

رغم أن تقنية EUV متوفرة للإنتاج بكميات ضخمة، إلا أنه تم تسليم 53 آلة فقط في جميع أنحاء العالم قادرة على إنتاج الرقائق باستخدام هذه التقنية خلال عامي 2018 و 2019، بينما تم تسليم 201 نظام ليثوگرافيا الغمر خلال نفس الفترة.[1][2][بحاجة لمصدر أفضل] المشكلات التي تجعل اعتماد EUV صعباً هي تكاليف الأدوات (يمكن أن تكلف ماسحات EUV الخاصة بـ ASML زُهاء 120 مليون دولار أمريكي[3][4]),زمن تشغيل الأداة والظواهر العشوائية.[5]فقد تم تجهيز أحدث أداة NXE: 3400 بقدرة تعبئة أقل للبؤرة للحصول على طباعة أفضل،[6]لكن هذا يؤدي إلى انخفاض الإنتاجية بسبب الاستخدام المحدود لمجال التعرض.[7] حيث العديد من القضايا الأساسية لا تزال قائمة.[8]

اعتباراً من عام 2020، أصبحت سامسونگ و TSMC هما الشركتان الوحيدتان اللتان استخدمتا EUV في الإنتاج، وتستهدفان بشكل أساسي 5 نانومتر. في IEDM 2019، أبلغ TSMC عن استخدام EUV لـ 5 نانومتر في التلامس، عبر خط معدني، وطبقات مقطوعة، حيث يمكن تطبيق التخفيضات على النهايات أو البوابات أو الخطوط المعدنية.[9][10] في IEDM 2020، أبلغت شركة TSMC عن انخفاض درجة ميل المعدن بمقدار 5 نانومتر بنسبة 30 ٪ عن 7 نانومتر،[11]التي كانت 40 نانومتر.[12]5 نانومتر من سامسونگ من الناحية ليثوغرافية هي نفس قاعدة التصميم مثل 7 نانومتر، مع حد أدنى للخط المعدني 36 نانومتر.[13]

آلية تشكيل الصورة في الليثوغرافيا EUV. الأعلى: الأشعة فوق البنفسجية متعددة الطبقات والممتصة (أرجوانية) تشكل نمط قناع لتصوير خط. القاع: يتم امتصاص إشعاع EUV (الأحمر) المنعكس من نمط القناع في المقاومة (الأصفر) والركيزة (البني)، مما ينتج عنه إلكترونات ضوئية وإلكترونات ثانوية (أزرق). تزيد هذه الإلكترونات من مدى التفاعلات الكيميائية في المقاومة. يتم فرض نمط إلكترون ثانوي عشوائي بطبيعته على الصورة الضوئية. ينتج عن التعرض الثانوي للإلكترون غير المرغوب فيه فقدان الدقة وخشونة حافة الخط التي يمكن ملاحظتها وتغير عرض الخط.
الجانب العشوائي للتصوير EUV. يمكن أن تسبب ضوضاء لقطة الفوتون تبايناً كبيراً في الجرعة المحلية، والذي يمكن أن يتجاوز عتبة الطباعة (الخط المنقط).

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

الأقنعة

تعمل الأشعة فوق البنفسجية أقنعة ضوئية من خلال انعكاس الضوء،[14] والذي يتم تحقيقه باستخدام طبقات بديلة متعددة من مولبدنم و السليكون. هذا على عكس الضوئية التقليدية التي تعمل عن طريق حجب الضوء باستخدام طبقة واحدة من الكروم على ركيزة كوارتز. يتكون قناع EUV من 40 طبقة متناوبة من السيليكون والمولبدنم;[15]تعمل هذه الطبقات المتعددة على عكس الضوء فوق البنفسجي الفائق من خلال انحراف براگ؛ الانعكاس هو دالة قوية لزاوية السقوط وطول الموجة، حيث تعكس الأطوال الموجية الأطول سقوطاً أقرب إلى الطبيعي وأطوال موجية أقصر تعكس المزيد من السقوط الطبيعي. يتم تحديد النمط في طبقة ماصة قائمة على التنتالوم فوق الطبقات المتعددة.[16] ويمكن حماية الطبقة المتعددة بطبقة رقيقة من الروثينيوم. [17][18]


التصنيع

تُصنع الأقنعة الضوئية الفارغة بشكل أساسي من قبل شركتين: AGC Inc. و شركة هويا.[19][20] يتم تغطية قناع ضوئي فارغ بـ مقاوم للضوء، ثم يتم خبزه (تصليبه) في فرن، ثم يُعرّض لضوء الليزر باستخدام ليثوغرافيا عديمة القناع.[21] بعد ذلك، يتم تعرية (إزالة) مقاوم الضوء المكشوف ونقش المناطق غير المحمية. ثم تتم إزالة مقاوم الضوء المتبقي. ثم يتم فحص الأقنعة وإصلاحها فيما بعد باستخدام حزمة إلكترونية.[22]يجب أن يتم النقش على عمق محدد بدقة بالغة، ما يجعل النقش صعباً عند مقارنته بتصنيع القناع الضوئي التقليدي.[23]

الأداة

تتكون الأداة من مصدر ضوء پلازما يعمل بالليزر، بصريات عاكسة تشتمل على مرايا متعددة الطبقات، موجودة داخل محيط غازي من الهيدروجين. حيث يتم استخدام الهيدروجين للحفاظ على مرآة تجميع EUV في المصدر خالية من ترسبات Sn.[24]

يُعرف EUVL بأنه خروج هام عن معيار الليثوغرافيا فوق البنفسجي الأقصى. حيث تقوم كل المواد بامتصاص إشعاع EUV. وبالتالي، تتطلب ليثوغرافيا EUV فراغاً. يجب أن تستخدم جميع العناصر البصرية، بما في ذلك القناع الضوئي، مولبدنم/السليكون (Mo/Si) الخالي من العيوب والمتعدد الطبقات (يتكون من 40 طبقة ثنائية من Mo/Si) التي تعمل على عكس الضوء عن طريق تداخل الطبقة البينية؛ أي واحدة من هذه المرايا تمتص حوالي 30٪ من الضوء الساقط.

تحتوي أنظمة EUVL الحالية على اثنين مرآتين مكثفتين متعددة الطبقات على الأقل وستة مرايا إسقاط متعددة الطبقات وكائن متعدد الطبقات (قناع). بما أن هذه المرايا تقوم بامتصاص 96٪ من ضوء EUV، يجب أن يكون مصدر EUV المثالي أكثر إشعاعاً من سابقاته. ركزت تعريه مصدر EUV على الپلازما الناتجة عن الليزر أو نبضات التفريغ. حيث تتعرض المرآة المسؤولة عن تجميع الضوء للپلازما مباشرةً وهي عرضة للتلف من طاقة الأيونات العالية[25][26]وغيرها من االمخلفات[27] كقطرات القصدير، التي تتطلب استبدال مرآة التجميع المكلفة كل عام. [28]

متطلبات الموارد

البديل 200 واط خرج EUV خرج 90 واط ArF
نقش مزوج غمري
الطاقة الكهربائية (kW) 532 49
تدفق مياه التبريد (L/min) 1600 75
خطوط الغاز 6 3

المصدر: گيگافوتون، ندوة سيماتك اليابان، 15 سبتمبر 2010

تكون الموارد البديلة المطلوبة أكبر بشكل ملحوظ بالنسبة لـ EUV مقارنةً بـ غمر 193 nm، حتى مع وجود تعريضين باستخدام الأخير. ذكرت Hynix هنكس في ندوة EUV لعام 2009 أن كفاءة مقبس الحائط كانت ~ 0.02٪ بالنسبة لـ EUV، أي للحصول على 200 واط عند التركيز المتوسط لـ100  رقاقة في الساعة، سيتطلب 1 ميگاواط من طاقة الإدخال، مقارنةً بـ 165 كيلوواط للماسح الضوئي الغمري ArF، وحتى مع نفس الإنتاجية، كان نقش الماسح الضوئي EUV حوالي 3 أضعاف نقش الماسح الضوئي بالغمر ArF، مما أدى إلى فقدان الإنتاجية.[29]بالإضافة إلى ذلك، لحصر المخلفات الأيونية، قد تكون هناك حاجة إلى مغناطيس فائق التوصيل.[30]

حيث تزن أداة EUV النموذجية 180 طناً.[31]

ملخص السمات الرئيسية

يلخص الجدول التالي الاختلافات الرئيسية بين أنظمة EUV في التعرية و غمر ArF المستخدمة على نطاق واسع في الإنتاج اليوم:

EUV غمر ArF
طول الموجة 2٪ عرض النطاق الترددي FWHM حوالي 13.5 nm 193 nm
طاقة الفوتون 91...93 eV 6.4 eV
مصدر الضوء CO2 ليزر + Sn پلازما ليزر مثار ArF
عرض النطاق الترددي للطول الموجي 5.9%[32] <0.16%[33]
يتم إنتاج الإلكترونات الثانوية عن طريق الامتصاص نعم لا
بصريات طبقات عاكسة متعددة (~ 40٪ امتصاص لكل مرآة) العدسات الناقلة
الفتحة العددية (NA) 0.25: NXE:3100
0.33: NXE:33x0 وNXE:3400B
عالية NA (0.55): طور الإنشاء
1.20,
1.35
مواصفات التحديد
ملاحظة: k1 المعرفة هنا على أنها
الدقة / (الطول الموجي / الفتحة العددية)
NXE:3100:B 27 nm (k1=0.50)
NXE:3300B: 22 nm (k1=0.54),
NXEI3100BJ 18 nm (k1=0.44) مع إضاءة خارج المحور
NXE:3350B: 16 nm (k1=0.39)
NXE:3400B: 13 nm (k1=0.32)
38 nm (k1=0.27)
التوهج 4%[34] <1%[35]
الإضاءة زاوية مركزية 6 درجات خارج المحور على الشبكية على المحور
حجم الحقل 0.25 و0.33 NA: 26 mm × 33 mm
عالية NA: 26 mm × 16.5 mm[36]
26 mm × 33 mm
التضخيم 0.25 و 0.33 NA: 4X متماثل
NA: عالية 4X/8X غير متماثل
4X
المحيط فراغ هيدروجيني الهواء (منطقة الرقاقة المكشوفة تحت الماء)
التحكم في الانحراف (بما في ذلك الحراري) لا يوجد نعم، على سبيل المثال، FlexWave[37]
فتحة الإضاءة على شكل قوس[38] على شكل مستطيل[39]
شبكي الشكل نقش على عاكس متعدد الطبقات نقش على ركيزة\مادة متفاعلة منقولة
إزاحة نقش الرقاقة مع الوضع الرأسي الشبكي نعم (بسبب الانعكاس); ~1:40[40] No
الغشاء الرقيق متوفر ولكن يحتوي على مشاكل نعم
رقاقة باليوم (ملاحظة: تعتمد على الأداة والكمية) 1000 6000
# الأدوات في الحقل > 50 (جميع نماذج الأدوات 0.33 NA) > 400

ترجع درجات الدقة المختلفة بين أدوات 0.33 NA إلى خيارات الإضاءة المختلفة. على الرغم من إمكانية وصول البصريات إلى دقة أقل من 20 نانومتر، فإن الإلكترونات الثانوية في المقاومة تحد بشكل عملي الدقة إلى حوالي 20 نانومتر.[41]

طاقة مصدر الضوء، والإنتاجية، والجاهزية

EUV TPT كدالة للكمية. إن إنتاجية الرقاقة لأداة EUV هي في الواقع دالة لكمية التعرض، لطاقة مصدر ثابتة.
إنتاجية EUV على مدى 13 أسبوعاً. يبلغ متوسط الإنتاجية الأسبوعية لأداة العميل الفعلية حوالي 1000 رقاقة / يوم، وأحياناً أقل.

لا يمكن للذرات المحايدة أو المادة المكثفة أن تصدر إشعاع EUV. يجب أن يسبق التأين انبعاث الأشعة فوق البنفسجية في المادة. يكون الإنتاج الحراري للأيونات الموجبة متعددة الشحن ممكناً فقط في حالة پلازما كثيفة ساخنة، والتي تمتص بنفسها بشدة الأشعة فوق البنفسجية.[42] اعتباراً من عام 2016، كان مصدر ضوء EUV المعتمد هو پلازما القصدير بالليزر النبضي.[43]حيث تقوم الأيونات بمتصاص ضوء EUV الذي تنبعث منه، ويمكن تحييدها بسهولة بواسطة الإلكترونات في الپلازما إلى حالات الشحن المنخفضة التي تنتج الضوء بشكل أساسي عند الأطوال الموجية الأخرى غير القابلة للاستخدام، مما يؤدي إلى تقليل كفاءة توليد الضوء لليثوغرافيا بكثافة طاقة پلازما أعلى.

يتم ربط الإنتاجية بقدرة المصدر، مقسومة على الكمية.[44] تتطلب الكمية الأعلى حركة درجة أبطأ (إنتاجية أقل) إذا تعذر زيادة قوة النبض.

تتحلل انعكاسية مُجمع EUV بنسبة 0.1-0.3٪ تقريباً لكل مليار نبضة 50 كيلو هرتز (حوالي 10٪ في أسبوعين تقريباً)، مما يؤدي إلى فقدان زمن التشغيل والإنتاجية، بينما حتى بالنسبة لبضعة مليارات من النبضات الأولى (خلال يوم واحد)، ولا يزال هناك 20٪ (+/- 10٪) تردد.[45] قد يكون هذا بسبب تراكم بقايا Sn المذكورة أعلاه والتي لم يتم تنظيفها بالكامل.[46][47]من ناحية أخرى، توفر أدوات ليثوغرافيا الغمرية التقليدية للنقش المزدوج إنتاجاً ثابتاً لمدة تصل إلى عام.[48]

في الآونة الأخيرة، تتميز إضاءة NXE: 3400B بنسبة تعبئة أقل للحدقة (PFR) تصل إلى 20٪ دون فقدان الإرسال.[49] تم تكبير PFR وأكبر من 0.2 حول درجة حرارة معدنية تبلغ 45 nm.[50]

نظراً لاستخدام مرايا EUV التي تمتص أيضاً ضوء EUV، يتوفر جزءاً صغيراً فقط من ضوء المصدر أخيراً في الرقاقة. حيث توجد 4 مرايا لبصريات الإنارة و 6 مرايا لبصريات الإسقاط. قناع EUV أو شبكاني هو نفسه مرآة إضافية. مع 11 انعكاس، ويكون ~ 2٪ فقط من ضوء مصدر EUV متوفراً في الرقاقة.[51]


زمن تشغيل الأداة

يحد مصدر ضوء EUV من زمن تشغيل الأداة إلى جانب الإنتاجية. في فترة أسبوعين، على سبيل المثال، قد يتم جدولة أكثر من سبع ساعات تعطل، في حين أن إجمالي زمن التوقف الفعلي بما في ذلك المشكلات غير المجدولة يمكن أن يتجاوز بسهولة يوماً واحداً.[51]حيث يبرر خطأ كمية زائدة عن 2٪ تعطل الأداة أيضاً.[51]

مقارنة بمصادر ضوء الليثوغرافيا الأخرى

بينما توفر أحدث تقنيات ArF ليزر الإكسيمر بقوة 193& nbsp;nm كثافة 200 W/cm2,[52]يجب أن تكون أجهزة الليزر المستخدمة في إنتاج الپلازما المولدة لـ EUV أكثر كثافة، في حدود 1011 W/cm2.[53] لا يتطلب مصدر الضوء الليثوغرافي المتطور بغمر ArF 120 واط أكثر من 40 kW[54] بينما تستهدف مصادر EUV تجاوز 40 kW.[55]

هدف الطاقة لليثوغرافيا EUV هو 250 واط على الأقل، بينما بالنسبة لمصادر الليثوغرافيا التقليدية الأخرى، فهي أقل بكثير.[51]على سبيل المثال، تستهدف مصادر ضوء ليثوغرافيا الغمر 90 واط، ومصادر ArF الجافة 45 واط، ومصادر KrF 40 واط. ومن المتوقع أن تتطلب مصادر EUV العالية NA 500 واط على الأقل.[51]


. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

القضايا العشوائية EUV

توزيعات الكميات الموضعية من إحصائيات پواسون (ضجيج الطلقات). محلياً على مقياس نانومتر، يتقلب رقم الفوتون الذي يدخل المقاومة بشكل طبيعي حول المتوسط، مما يؤدي إلى توزيع واسع إلى حد ما للكميات الفعلية في المناطق التي من المفترض أن تكون ثابتة، على سبيل المثال، على طول حافة الصورة.
توزع الكمية مقابل متوسط الكمية. تؤدي زيادة متوسط رقم الفوتون إلى تحمل التوزيع، وفقاً لإحصاءات پواسون. على الرغم من أن متوسط الكمية تضاعف أربع مرات، فإن المسافة بين الطرفين البعيدين للتوزيعين تزيد عن رتبتي اختلاف من حيث الحجم.
إلغاء تركيز فصل الفوتونات مما يؤدي إلى تأثير عشوائي أكبر. يتسبب إلغاء الضبط البؤري في اختلافات طور مختلفة (تظهر هنا بألوان مختلفة) بين الحزم المتداخلة من نقاط الحدقات المختلفة، مما يؤدي إلى صور مختلفة. لذلك يجب تقسيم الفوتونات من نقاط مختلفة بين عدة مجموعات على الأقل، مما يقلل من أعدادها ويزيد من التأثيرات العشوائية.
احتمال الخلل العشوائي مقابل الخطوات. يزداد احتمال حدوث عيب في الجسر في الخط المعدني مع زيادة نصف الخطوات الأصغر، ولكن عند عرض خط معين، يزداد مع درجة الخطوات.
تقسيم الفوتون بين أنماط الانعراج عند الحدقة. تتفاقم التأثيرات العشوائية بتقسيم الفوتونات إلى أعداد أقل لكل نمط حيود (يتم تمثيل كل منها هنا بلون مختلف مع نسبة مختلفة من الفوتونات داخل رباعي الحدقة) عبر الحدقة.[56][57]
تنشأ العيوب العشوائية من الضبابية المعتمد على الكمية. يؤدي التمويه المعتمد على الكمية إلى تفاقم ضجيج طلقات الفوتون، مما يتسبب في فشل الميزات في الطباعة (أحمر) أو سد الفجوة مع الميزات المجاورة (أخضر).

تعتبر ليثوغرافيا EUV حساسة بشكل خاص للتأثيرات العشوائية.[58]في عدد كبير من الميزات المطبوعة بواسطة EUV، على الرغم من تصميم الغالبية العظمى، يعاني البعض من فشل كامل في الطباعة، على سبيل المثال الثقوب المفقودة أو خطوط الجسور (التجسير). ومن المساهمات المهمة المعروفة في هذا التأثير الكمية المستخدمة للطباعة.[59]وهذا مرتبط بـ ضجيج الطلقات، ليتم مناقشتها بمزيد من التفصيل أدناه. نظراً للاختلافات العشوائية في وصول أعداد الفوتون، تفشل بعض المناطق المخصصة للطباعة فعلياً في الوصول إلى عتبة الطباعة، تاركة مناطق عيوب غير مكشوفة. قد يتم تعريض بعض المناطق بشكل مفرط، مما يؤدي إلى فقدان المقاومة المفرط أو التشابك. يزداد احتمال الفشل العشوائي بشكل كبير مع انخفاض حجم الصورة، وبالنسبة لنفس حجم الميزة، تؤدي زيادة المسافة بين الصور أيضاً إلى زيادة الاحتمالية بشكل كبير.[59][60]تعتبر قطع الخطوط التي تكون متباعدة نسبياً مشكلة مهمة. يتطلب الناتج الكشف عن حالات الفشل العشوائية وصولاً إلى أقل من 1e-12.[59]

يكون الاتجاه إلى العيوب العشوائية أسوأ عندما تتكون الصورة من فوتونات من أنماط مختلفة، مثل نقش منطقة كبيرة[56][57] أو من إزالة التركيز على ملء حدقة كبيرة.[61][62]

قد تتواجد أوضاع إخفاق متعددة لنفس التجمع. على سبيل المثال، إلى جانب جسر الحفر، قد يتم تقطيع الخطوط التي تفصل الحفر.[59] يمكن أن يعزى هذا إلى فقدان المقاومة العشوائية،[58] من الإلكترونات الثانوية.[63][64]

يؤدي التواجد بين مناطق الخلل المعرضة بشكل عشوائي والمعرضة بشكل مفرط إلى فقدان فتحة\صمام الكمية عند مستوى معين من عيب\خلل ما بعد الحفر بين منحدرات النقش ذات الكميات المنخفضة والكميات العالية.[65]ومن ثم ستضيع الاستفادة من دقة الطول الموجي الأقصر.

تلعب الطبقة السفلية المقاومة دوراً مهماً أيضاً.[59] قد يكون هذا بسبب الإلكترونات الثانوية التي تولدها الطبقة السفلية.[66] قد تزيل الإلكترونات الثانوية أكثر من 10 نانومتر من المقاومة من الحافة المكشوفة.[63][67]

يكون مستوى الخلل في حدود 1K/mm2[68] في عام 2020، أفادت شركة سامسونگ أن تخطيطات 5 نانومتر تنطوي على مخاطر لعيوب العملية وقد بدأت في تنفيذ الفحص والإصلاح الآلي.[69]

يمكن ربط ضجيج طلقات الفوتون بالعيوب العشوائية من خلال وجود تمويه مرتبط بالكمية (على غرار گاوسية).[70]

المشكلات البصرية الخاصة بـ EUV

عدم تناسق الصورة بسبب نقاط مصدر الإضاءة المتعددة. نظرًا لأن إضاءات القناع من نقاط مصدر مختلفة تكون عند زوايا مختلفة، فإن النقاط المختلفة تنتج بالفعل صوراً مختلفة. من اليسار إلى اليمين، توجد نقاط المصدر الممثلة بعيداً عن المركز. خط التأرجح هو 28 نانومتر.

انعكاسية متعددة الطبقات عشوائية الاختلافات

أجرت كل من گلوبال فاوندريز و مختبرات لورنس بركلي دراسةً على مونت كارلو لمحاكاة تأثيرات الاختلاط بين طبقات مولبدنم (Mo) والسليكون (Si) في الطبقات المتعددة التي تُستخدم لعكس ضوء الأشعة فوق البنفسجية من قناع الأشعة فوق البنفسجية.[71]أشارت النتائج إلى حساسية عالية لتغيرات المقياس الذري لسماكة الطبقة. لا يمكن اكتشاف هذه الاختلافات من خلال قياسات انعكاسية واسعة النطاق، ولكنها ستكون مهمة على مقياس البعد الحرج (CD).[71]

عرض النطاق الترددي للطول الموجي (الانحراف اللوني)

الاعتماد على الطول الموجي للطيف الزاوي للانعكاس. يعتمد الانعكاس كدالة لزاوية السقوط بشكل كبير على طول الموجة. الإدراج: يؤدي استخدام Apodization الناتج عن الاعتماد الزاوي إلى توزيع غير متماثل عبر حدقة الأطوال الموجية المختلفة.[72]
يعتمد تحول الصورة بسبب إلغاء الضبط البؤري على الطول الموجي.يختلف الاعتماد الزاوي للانعكاس متعدد الطبقات للكائن (القناع) باختلاف الأطوال الموجية، مما يؤدي إلى تحولات مختلفة عند عدم التركيز.

على عكس مصادر ليثوغرافيا DUV، استناداً إلى ليزر الإكسيمر، تنتج مصادر الپلازما EUV الضوء عبر نطاق واسع من الأطوال الموجية.[73] على الرغم من أن طيف الأشعة فوق البنفسجية ليس أحادي اللون تماماً، ولا حتى نقياً من الناحية الطيفية مثل مصادر الليزر DUV، فقد تم أخذ الطول الموجي العامل عموماً ليكون 13.5 نانومتر. في الواقع، يتم توزيع الطاقة المنعكسة في الغالب في نطاق 13.3-13.7 نانومتر.[74] يزيد عرض النطاق الترددي لضوء EUV المنعكس بواسطة مرآة متعددة الطبقات المستخدمة في الليثوغرافيا EUV عن +/- 2٪ (> 270 م);[75] يمكن حساب تغيرات الطور بسبب تغيرات الطول الموجي عند زاوية إضاءة معينة[76] ومقارنة بميزانية الانحراف.[77] الاعتماد على الطول الموجي لـ الانعكاس[76][74] يؤثر أيضاً على apodization، أو توزيع الإضاءة عبر البؤرة (لزوايا مختلفة)؛ الأطوال الموجية المختلفة "تدرك" الإضاءات المختلفة بشكل فعال حيث تنعكس بشكل مختلف بواسطة الطبقات المتعددة للقناع.[78][74] يمكن أن تؤدي هذة الإمالة الفعالة لإضاءة المصدر إلى تحولات كبيرة في الصورة بسبب إلغاء الضبط البؤري.[79] على العكس من ذلك، يختلف الطول الموجي المنعكس للذروة عبر البؤرة بسبب زوايا الحوادث المختلفة.[74][80]ويتفاقم هذا عندما تمتد الزوايا في نصف قطر واسع، على سبيل المثال، الإضاءة الحلقيّة. يزيد الطول الموجي لقمّة الانعكاس بالنسبة لزوايا سقوط أصغر.[81] تم اقتراح طبقات متعددة غير دورية لتقليل الحساسية بتكلفة انعكاسية منخفضة ولكنها حساسة للغاية للتقلبات العشوائية في سماكة الطبقة، مثل عدم دقة التحكم في السماكة أو الانتشار الداخلي.[82] على وجه الخصوص، فإن الخطوط الكثيفة غير المركزة عند الاهتزازات التي تصل إلى ضعف الحد الأدنى من درجة الاهتزاز القابلة للفصل تعاني من تغيرات الحافة التي تعتمد على الطول الموجي.[83]

عرض النطاق الترددي الأضيق من شأنه أن يزيد من الحساسية لإخفاء سماكة المخمد والعازل على مقياس 1 نانومتر.[84][85]

آثار طور امتصاص القناع

تأثير تحول الطور لقناع EUV. يؤدي تغيير الطور على قناع EUV، حتى من منطقة ذات سطوع خافت، إلى تغيير موضع السمة، وكذلك تغيير عرضه المطبوع.
تغيرات الصورة من خلال التركيز. يختلف تباين الصورة من خلال التركيز كما هو متوقع، لكن أفضل موضع تركيز بؤري يختلف اختلافاً كبيراً اعتماداً على مجموعة متنوعة من العوامل، مثل زاوية الإضاءة في هذه الحالة.

يولّد ماص قناع EUV، بسبب النقل الجزئي، فرقاً في الطور بين ترتيب الانعراج الصفري و الأول لنقش خط فراغي، مما يؤدي إلى تحولات الصورة (بزاوية إضاءة معينة) بالإضافة إلى تغييرات في كثافة الذروة (مما يؤدي إلى تغييرات عرض الخط)[86] والتي تم تحسينها بشكل أكبر بسبب إلغاء الضبط البؤري.[87][88] في النهاية، ينتج عن ذلك مواضع مختلفة للتركيز الأفضل لمرات مختلفة وزوايا إضاءة مختلفة. بشكل عام، تتم موازنة إزاحة الصورة بسبب اقتران نقاط مصدر الإضاءة (كل منها على جانبين متقابلين من المحور البصري). ومع ذلك، يتم تراكب الصور المنفصلة وينخفض تباين الصورة الناتج عندما تكون إزاحات صورة المصدر الفردية كبيرة بدرجة كافية. ويحدد فرق الطور أيضاً في النهاية أفضل موضع تركيز بؤري.


. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

البصريات العاكسة

الخطوات والتوجهات في التخطيطات المعدنية. سيتسبب التباين في الخطوات والتوجهات في التخطيطات المعدنية في حدوث مضاعفات لليثوغرافيا في الأشعة فوق البنفسجية بسبب استخدام البصريات العاكسة.

أحد الجوانب الأساسية لأدوات EUVL، الناتج عن استخدام البصريات العاكسة، هو الإضاءة خارج المحور (بزاوية 6 درجات، في اتجاه مختلف في مواضع مختلفة داخل شق الإضاءة)[89] على قناع متعدد الطبقات. يؤدي هذا إلى تأثيرات التظليل التي تؤدي إلى عدم تناسق في نمط الانعراج مما يؤدي إلى إضعاف دقة النمط بطرق مختلفة كما هو موضح أدناه.[90]

تأثيرات تظليل القناع الكثيف

تأثير التظليل على قناع الأشعة فوق البنفسجية. عندما يكون حجم الميزة على القناع مشابهاً للسماكة، يكون تأثير التظليل أكثر أهمية للصورة المشكلة، نظراً لأن مسافة خلوص الظل ليست مهملة مقارنة بحجم الميزة.

يؤدي الوقوع المائل في نظام بصري عاكس إلى تأثيرات التظليل في وجود ممتص القناع. على سبيل المثال، سيظهر جانب واحد (خلف الظل) أكثر إشراقاً من الآخر (داخل الظل).[91]

عدم تناسق H-V

فرق تركيز EUV H-V.تركز الصور الأفقية والعمودية بشكل مختلف في الأنظمة البصرية EUV. تحدث الفتحة العددية (NA) فرقاً أيضاً.
EUV عديمة المركزية. اليسار: نظراً للاختلافات الكبيرة في زاوية الانعكاس متعدد الطبقات، ينتج عن جانب واحد من حدقة الإضاءة مزيد من الضوء المنعكس. اليمين: وبالتالي، ستكون الإضاءة من جانب واحد هي السائدة. ينتج عن هذا اختلاف في المسار البصري بين ترتيبات الانعراج فيما يتعلق بعدم الوضوح، مما يؤدي إلى ميل النقش إلى الانزياح.

بشكل أساسي، يختلف سلوك أشعة الضوء داخل مستوى الانعكاس (يؤثر على الخطوط الأفقية) عن سلوك أشعة الضوء خارج مستوى الانعكاس (يؤثر على الخطوط الرأسية).[92] تتم طباعة الخطوط الأفقية والرأسية ذات الحجم نفسه بشكل واضح على قناع EUV بأحجام مختلفة على الرقاقة.

اختلاف CD بخطين مقابل التركيز. يختلف الفرق بين عرض الخطين الأفقيين المتجاورين كدالة للتركيز.

عدم التماثل في مجموعات من الخطوط المتوازية

يؤدي الجمع بين عدم التناسق خارج المحور وتأثير تظليل القناع إلى عدم قدرة أساسية لميزتين متطابقتين حتى على مقربة من التركيز في الوقت نفسه.[93] إحدى القضايا الرئيسية في EUVL هي عدم التناسق بين الخط العلوي والسفلي لزوج من الخطوط الأفقية (ما يسمى ب "الخطين"). بعض طرق التعويض الجزئي هي استخدام ميزات المساعدة بالإضافة إلى الإضاءة غير المتماثلة.[94]

يُظهر امتداد الحالة المكونة من خطين إلى شبكة تتكون من العديد من الخطوط الأفقية حساسية مماثلة لعدم الوضوح.[95]يتجلى ذلك في اختلاف CD بين خطوط الحافة العلوية والسفلية لمجموعة من 11 خطاً أفقياً. يسرد الجدول أدناه اختلاف CD الذي يزيد عن مدى تركيز 100 nm تحت إضاءة الكوازار (سيتم وصف إضاءة الكوازار في القسم الخاص بالإضاءة المثلى مقابل الخطوة).

الاهتزاز فرق CD أسفل-أعلى 11 شريط أفقي على نطاق تركيز بؤري 100 نانومتر (كوازار)
36 nm 3.5 nm
40 nm 2.5 nm
44 nm 1.7 nm

بالنسبة إلى الخطوات التي تبلغ 40 nm أو أقل، تكون أطوال الخطوط 20 nm أو أقل، بينما يكون اختلاف CD 2.5 nm على الأقل، مما ينتج عنه فرق بنسبة 12.5٪ على الأقل.

ظهور خطين في تخطيط غير منتظم. يمكن أن يتضمن التخطيط غير المنتظم مواقع ذات خطين عرضة للتصوير غير المتماثل.

إزاحة النقش من إلغاء التركيز (لا-مركزية)

يتميز القناع بوضعه العمودي

يؤدي استخدام الانعكاس إلى أن يكون موضع تعرض الرقاقة حساساً للغاية لتسطيح شبكاني وثبيت شبكي. لذلك يلزم الحفاظ على نظافة مشبك الشبكية. تقترن الانحرافات الصغيرة (على نطاق mrad) في تسطيح القناع في المنحدر المحلي، إلى جانب عدم وضوح الرقاقة.[96] الأهم من ذلك، تم اكتشاف أن إلغاء ضبط تركيز (عدم وضوح) القناع يؤدي إلى أخطاء تراكب كبيرة.[97][98] على وجه الخصوص، بالنسبة لطبقة 10 nm للعقدة المعدنية 1 (بما في ذلك خطوات 48 nm, 64 nm, 70 nm، المعزولة، وخطوط الطاقة)، كان خطأ وضع النقش غير القابل للتصحيح 1 nm لإزاحة موضع-z للقناع 40 نانومتر[99]هذا هو تغيير النقش العام للطبقة فيما يتعلق بالطبقات المحددة مسبقاً. ومع ذلك، ستتحول الميزات في مواقع مختلفة أيضاً بشكل مختلف بسبب الانحرافات المحلية المختلفة عن تسطيح القناع، على سبيل المثال، من العيوب المدفونة تحت الطبقة المتعددة. يمكن تقدير أن مساهمة عدم تسطيح القناع في خطأ التراكب هي تقريباً 1/40 ضعف تباين سمك الذروة إلى القاع.[100]مع المواصفات الفارغة من الذروة إلى القاع التي تبلغ 50 nm, ~1.25 nm، من الممكن حدوث خطأ في موضع الصورة ~ 1.25 & nbsp؛ nm. تساهم أيضًا اختلافات السماكة الفارغة التي تصل إلى 80 nm، مما يؤدي إلى إزاحة الصورة وتصل إلى 2 nm [100]

عدم وضوح الرقاقة
إزاحة نقش طبقة العقدة المعدنية 10nm مقابل عدم الوضوح.يتم إزاحة النقوش المختلفة في الطبقة المعدنية للعقدة 10 نانومتر (24 nm hp) بشكل مختلف من خلال التركيز، اعتماداً على الاتجاه وموضع الفتحة وكذلك الترتيب.

إن الإضاءة خارج المحور للشبكية هي أيضاً سبب عدم مركزية في عدم وضوح الرقاقة، والتي تستهلك معظم ميزانية التراكب 1.4 nm للماسح الضوئي NXE: 3400 EUV[101] حتى بالنسبة لقواعد التصميم الفضفاضة مثل خطوة 100 nm.[102] كان أسوأ خطأ في وضع النقش غير القابل للتصحيح لخط 24 nm حوالي 1.1 nm، نسبة إلى خط طاقة مجاور لـ 72 nm، لكل 80 nm تحويل موضع تركيز رقاقة nm في موضع شق واحد؛ عند تضمين الأداء عبر الفتحة، يكون الخطأ الأسوأ هو أكثر من 1.5 nm نانومتر في فتحة عدم وضوح الرقاقة[99] في عام 2017، أظهر مجهر تصويري يحاكي نظام الليثوغرافيا 0.33 NA EUV بإضاءة 0.2 / 0.9 كوازار 45 ضوء أن تم إزاحة مصفوفة تلامس الخطوة 80 nm -0.6 إلى 1.0 nm بينما 56 nm -1.7 إلى 1.0 nm نانومتر بالنسبة إلى خط مرجعي أفقي، داخل نافذة عدم الوضوح +/- 50 nm.[103]

يؤدي عدم وضوح الرقاقة أيضاً إلى أخطاء في وضع الصورة بسبب الانحرافات عن تسطيح القناع المحلي. إذا تمت الإشارة إلى الميل الموضعي بزاوية α، فمن المتوقع أن يتم إزاحة الصورة في أداة إسقاط 4x بمقدار 8 α x (DOF/2) = 4 α DOF، حيث تمثل DOF عمق التركيز.[104] للحصول على عمق بؤري يبلغ 100 nm، يمكن أن يؤدي انحراف موضعي صغير عن التسطيح البالغ 2.5 mrad (0.14°) إلى انزياح في النقش بمقدار 1 nm.

الاعتماد على موضع الشق/الفتحة

المجال الحلقي للإضاءة المنتظمة. يشير المجال الحلقي إلى المجال ذي الشكل القوسي المستخدم لتحقيق الإضاءة المنتظمة من نقطة خارج المحور، كما هو الحال في الأنظمة الضوئية العاكسة.
دوران الضوء عبر شق المجال الحلقي. سينعكس الضوء من الأسطح البصرية المنحنية على توليد مقاطع قوسية.[105] يتم تدوير زوايا الإضاءة بشكل سمتي عبر شق على شكل قوس (يمين)، بسبب انعكاس صورة على شكل قوس من كل موضع حدقة كمصدر نقطة (يسار).[106][107]يتم تدوير نمط توزيع الانعكاس متعدد الطبقات المعتمد على الزاوية والطول الموجي وفقاً لذلك.

يعتمد اتجاه الإضاءة أيضاً بشكل كبير على موضع الشق، حيث يتم تدويره بشكل أساسي.[108][109][38][110][111][112]وقد وجدت نانيا تكنولوجي و سنوپسس ذلك أفقياً مقابل الانحراف الرأسي تغير عبر الشق بإضاءة ثنائية القطب.[113] تم تأكيد المستوى الدوار للسقوط (المدى السمتي في حدود -25 درجة إلى 25 درجة) في مجهر المراجعة الشعاعية SHARP في CXRO الذي يحاكي البصريات لأنظمة الليثوغرافيا بإسقاط الأشعة فوق البنفسجية.[114] والسبب في ذلك هو استخدام المرآة لتحويل الحقول المستقيمة المستطيلة إلى حقول على شكل قوس.[115][116] من أجل الحفاظ على مستوى ثابت للتعرض، سيكون الانعكاس من المرآة السابقة من زاوية مختلفة مع السطح لموضع شق مختلف؛ هذا ما يسبب عدم انتظام الانعكاسية.[7] للحفاظ على الانتظام، يتم استخدام التناظر الدوراني مع مستوى تعرض دوار.[7][117]بشكل عام، تعمل ما يسمى بأنظمة "المجال الحلقي" على تقليل الانحرافات بالاعتماد على التناظر الدوراني لحقل على شكل قوس مشتق من حلقة خارج المحور.[118] وهذا مُفضل، لأن الأنظمة العاكسة يجب أن تستخدم مسارات خارج المحور، مما يؤدي إلى تفاقم الانحرافات. ومن ثم تتطلب نقوش القوالب المتطابقة داخل نصفي مختلف من الشق على شكل قوس OPC مختلفة. هذا يجعلهم غير قابلين للرصد من خلال المقارنة المتتالية، لأنهم لم يعودوا يموتون متطابقين حقاً. بالنسبة إلى النغمات التي تتطلب إضاءة ثنائية القطب أو رباعية أو سداسية القطب، يتسبب الدوران أيضاً في عدم تطابق نفس تخطيط النقش في موضع شق مختلف، أي الحافة مقابل المركز. حتى مع الإضاءة الحلقية أو الدائرية، يتم تهميش التناظر الدوراني بواسطة الانعكاس متعدد الطبقات المعتمد على الزاوية الموصوف أعلاه. على الرغم من أن نطاق الزاوية السمتي هو +/- ~ 20 درجة[119] (NXE3400[120] تشير البيانات الحقلية إلى 18.2 درجة[121])على الماسحات الضوئية 0.33 NA، في قواعد تصميم 7 نانومتر (36-40 نانومتر)، يمكن أن تكون سماحية للإضاءة +/- 15 درجة،[122][123] أو حتى أقل.[124][125][120] حيث يؤثر عدم انتظام الإضاءة الحلقية وعدم التماثل بشكل كبير على التصوير.[126]

يعد الاعتماد على موضع الشق أمراً صعباً بشكل خاص بالنسبة للنقوش المائلة الموجودة في DRAM.[111]إلى جانب التأثيرات الأكثر تعقيداً بسبب التظليل ودوران الحدقة، يتم تحويل الحواف المائلة إلى شكل درج، والذي قد يتم تشويهه بواسطة OPC. في الواقع، ستطول الذاكرة الديناميكية ذات الخطوة 32 نانومتر بواسطة EUV حتى منطقة خلية 9F2 على الأقل، حيث F = المنطقة النشطة نصف درجة (تقليدياً، كانت 6F2).[127]مع قطع منطقة نشطة ثنائية الأبعاد محاذاة ذاتياً للنقش المزدوج، وتبقى منطقة الخلية أقل عند 8.9F2.[128]

إضاءة دوارة ثنائية القطب. تتسبب الإضاءة ثنائية القطب المستديرة (النقاط المصمتة) في تراجع النقش حيث يمكن للضوء فقط من التداخل بين الموقع الأصلي (النقاط المجوفة) والمواقع المستديرة أن يساهم في التصوير. يقلل الضوء المتبقي من تباين الحواف من خلال العمل كضوء خلفية غير مقيد.

الانحرافات، الناتجة عن انحرافات الأسطح الضوئية عن المواصفات دون الذرية (<0.1 nm)[129] وكذلك التشوهات الحرارية[130][131] وربما تشمل تأثيرات الانعكاس المستقطب،[132]والتي تعتمد أيضاً على موضع الشق\الفتحة،[133][131] كما سيتم مناقشته بمزيد من التفصيل أدناه، فيما يتعلق بتحسين قناع المصدر (SMO). من المتوقع أن تظهر الانحرافات المستحثة حرارياً اختلافات بين المواضع المختلفة عبر الشق، بما يتوافق مع مواضع مجال مختلفة، حيث يواجه كل موضع أجزاء مختلفة من المرايا المشوهة.[134] ومن المفارقات أن استخدام مواد المتفاعلة\الركيزة ذات الثبات الحراري والميكانيكي العالي يجعل من الصعب تعويض أخطاء واجهة الموجة[135]

التوهج

يعرف التوهج بأنه وجود ضوء في الخلفية ناشئ عن تشتت صور السطح التي لم يتم تصميمها بواسطة الضوء. في أنظمة EUV، يمكن أن يكون هذا الضوء عبارة عن ضوء EUV أو ضوء خارج النطاق (OoB) يتم إنتاجه أيضاً بواسطة مصدر EUV. يضيف ضوء OoB تعقيداً للتأثير على التعرض للمقاومة بطرق تختلف عن التعرض لـ EUV. يمكن تخفيف التعرض للضوء OoB بطبقة مغطاة فوق المقاومة، بالإضافة إلى صور "الحدود السوداء" على قناع EUV.[136] ومع ذلك، تقوم طبقة الطلاء بشكل طبيعي بامتصاص ضوء EUV، تقوم الحدود السوداء بإضافة كمية\مقدار معالجة قناع EUV.

تأثيرات طرف الخط

صعوبة طرف إلى طرف لنقوش الخطوط الكثيفة. من الصعب تحسين الفجوة بين نهايات الخطوط بالتزامن مع الخطوط الكثيفة.
صعوبة طباعة فجوة من طرف إلى جانب. تعد الفجوة من طرف إلى جانب واحدة من أصعب الصور للطباعة في نمط ثنائي الاتجاه. تفضل الإضاءة الشبيهة بالقطب الحد الأدنى من الخطوة وليس الخطوات الأخرى.

يتمثل التحدي الرئيسي الذي يواجه EUV في سلوك القياس المقابل لمسافة الخط من طرف إلى طرف (T2T) حيث يتم تصغير نصف الخطوة(hp).[124] ويرجع ذلك جزئياً إلى انخفاض تباين الصور للأقنعة الثنائية المستخدمة في ليثوغرافيا EUV، والتي لا تتم مواجهتها باستخدام أقنعة إزاحة الطور في ليثوغرافيا الغمرية.[137][138] يؤدي تقريب زوايا نهاية الخط إلى تقصير نهاية الخط،[139] وهذا أسوأ بالنسبة للأقنعة الثنائية.[140]فقد تمت دراسة استخدام أقنعة إزاحة الطور في ليثوغرافيا EUV ولكنها واجهت صعوبات من التحكم في الطور في الطبقات الرقيقة[141] وكذلك عرض النطاق الترددي لضوء EUV نفسه.[142] بشكل أكثر تقليدية، يتم استخدام تصحيح القرب البصري (OPC) لمعالجة تقريب الزاوية وتقصير نهاية الخط. على الرغم من ذلك، فقد ثبت أن الدقة من طرف إلى طرف وقابلية طباعة طرف الخط يتم تداولهما مقابل بعضهما البعض، وهما بشكل فعال أقراص مضغوطة ذات قطبية معاكسة.[143] بالإضافة إلى ذلك، تعتمد فعالية التصحيحات الضوئية على أسباب أخرى غير بصرية مثل مقاومة التمويه وتأثيرات الانتشار، والتي قد تشمل أيضاً تمويه الإلكترون الثانوي (تمت مناقشته في القسم الخاص بالتعرض لمقاوم الضوء).[144] أيضاً، يبدو أن الأوزان والأحجام الجزيئية الأكبر تقوم بالتقليل من تقريب الزاوية.[145]

في الطبقات المعدنية أحادية الاتجاه، يعد التباعد من طرف إلى طرف أحد أكثر المشكلات خطورة لنمط التعريض الفردي. بالنسبة للخطوط العمودية ذات خطوة 40 nm، نتج عن فجوة اسمية تبلغ 18 نانومتر من طرف إلى طرف مسافة فعلية من طرف إلى طرف تبلغ 29 nm مع OPC (تصحيح القرب البصري) و[124] بينما بالنسبة لخطوط أفقية 32 nm خطوة، أدت المسافة من طرف إلى طرف مع فجوة اسمية 14 nm إلى 31 nm مع OPC.[146] تحدد هذه المسافات الفعلية من طرف إلى طرف حداً أدنى لنصف ميل المعدن الذي يجري في الاتجاه العمودي على الطرف. في هذه الحالة، يكون الحد الأدنى حوالي 30 نانومتر. مع مزيد من التحسين للإضاءة (تمت مناقشته في القسم الخاص بتحسين قناع المصدر)، حيث يمكن تقليل الحد الأدنى إلى حوالي25 nm.[147]

بالنسبة للمساحات الأكبر حجماً، حيث يمكن استخدام الإضاءة التقليدية، تكون مسافة الخط من طرف إلى طرف أكبر بشكل عام. بالنسبة لخطوط نصف الخطوة مقاس 24 nm، مع فجوة مرسومة اسمياً تبلغ 20 nm كانت المسافة في الواقع 45 nm، بينما بالنسبة لخطوط نصف الخطوة 32 nm، نتج عن نفس الفجوة الاسمية مسافة طرف إلى طرف من 34 nm.[146] مع OPC، تصبح هذه 39 nm و 28 nm لـ 24 nm نصف الاهتزاز و 32 nm نصف الخطوة، على التوالي.[148]

المسافة المطبوعة بين طرف الخط والخط العمودي الذي يواجهه هو 25-35 nm for 22 nm خطوط نصف الاهتزاز (مع 20 nm فجوة مرسومة اسمياً).[148] بالنسبة لنمط مساحة خط 22 nm مع فجوة اسمية 22 nm، تنتج الإضاءة التقليدية مسافة 38 nm طرف إلى خط، بينما تنتج إضاءة الكوازار مسافة 28 nm.[149] تعد الفجوة من طرف إلى جانب واحدة من أصعب الصور للطباعة في نمط ثنائي الاتجاه.[148]

ملخص نهاية خط EUV وتأثيرات الزاوية:[150]

تقريب الزاوية نهاية لنهاية نهاية لجانب
~25 nm 28 nm 28 nm

المصدر: سيمكون ويست 2017، IBM

تفرض مسافة تصفية نهاية الخط البالغة 28 nm بشكل أساسي أن تكون الخطوات على الأقل 56 nm للنمذجة ثنائية الاتجاه بالتعرض الأحادي EUV 7 nm الحد الأدنى من الميل المعدني بالفعل عند 40 nm أو أقل، بينما يمكن أيضاً أن تكون مدخل الخطوة أقل من 56 nm،[151][152] لذلك هذا مؤشر على أن هناك حاجة إلى نقش متعدد حتى بالنسبة لـ EUV عند 7 nm.[153]

فرص تحسين النقش بواسطة EUV

الصور المساعدة

صورة مساعدة OPC. تساعد الصور المساعدة في تحسين صورة الميزات المعزولة (الأزرق) لتكون أشبه بصور كثيفة (رمادية). ومع ذلك، فكلما كانت أكثر فاعلية، زادت مخاطر طباعة صورة المساعدة (باللون البرتقالي).
تأثير النقش على SMO. يؤدي مجرد توسيع خط الطاقة (أعلى وأسفل نقش العينة) إلى تغييرات كبيرة في الحدقة المحسّنة بالإضافة إلى تقليل نافذة التركيز، حتى مع استخدام الصور المساعدة.

غالباً ما تُستخدم الصور المساعدة للمساعدة في موازنة عدم التناسق من اللامركزية في مواضع شق مختلفة، بسبب زوايا الإضاءة المختلفة، بدءاً من العقدة 7 nm،[154][155]حيث تكون الخطوة ~ 41 نانومتر لطول موجة ~ 13.5 نانومتر و NA = 0.33، المقابلة لـ k1 ~ 0.5.[156]ومع ذلك، يتم تقليل عدم التناسق ولكن لا يتم إزالته تماماً، نظراً لأن الصور المساعدة تعمل بشكل أساسي على تعزيز أعلى الترددات المكانية، في حين أن الترددات المكانية المتوسطة، والتي تؤثر أيضاً على تركيز الصورة وموضعها، لا تتأثر كثيراً. يعتبر الاقتران بين الصورة الأولية والصور ذاتها قوياً جداً بحيث لا يمكن إزاله عدم التناسق تماماً بواسطة الصور المساعدة؛ فقط الإضاءة غير المتماثلة يمكنها تحقيق ذلك.[94] قد تعيق الصور المساعدة أيضاً الوصول إلى خطوط الطاقة / الأرض. من المتوقع أن تكون خطوط الطاقة أوسع، مما يحد أيضاً من فعالية استخدام الصور المساعدة، من خلال تقييد الخطوات الموضعية. فالخطوات الموضعية بين 1x و 2x الحد الأدنى من درجة الخطوة تمنع وضع الصورة المساعدة، حيث لا يوجد ببساطة مجال للحفاظ على تناسق الخطوة الموضعية. في الواقع، بالنسبة للتطبيق في حالة عدم التناسق المكون من خطين، قد يكون موضع الصورة المساعدة الأمثل أقل من أو يتجاوز خطوة الخطين.[155]اعتماداً على الپارامتر الذي سيتم تحسينه (منطقة نافذة العملية، عمق التركيز، خط عرض التعرض)، يمكن أن يكون تكوين الصورة المساعدة المثلى مختلفاً تماماً، على سبيل المثال، الخطوة بين الصورة المساعدة والخط الذي يكون مختلفاً عن خطوة ذات خطين، متماثل أو غير متماثل، إلخ..

في الخطوات الأصغر من 58 nm، هناك مفاضلة بين تحسين عمق التركيز وخسارة التباين من خلال وضع الصورة المساعدة.[155] بشكل عام، لا تزال هناك مبادلة التعرض للتركيز البؤري حيث أن نافذة الكمية مقيدة بالحاجة إلى عدم طباعة الصور المساعدة عن طريق الخطأ.

يشكل ضجيج الطلقات مصدر قلق إضافي;[157] تتسبب ميزات المساعدة ذات الدقة الفرعية (SRAF) في خفض الكمية المطلوبة، حتى لا تتم طباعة صور مساعدة عن طريق الخطأ.[158] ينتج عن هذا عدد أقل من الفوتونات التي تحدد صور أصغر (انظر المناقشة في القسم الخاص بضجيج الطلقات).

من المعروف الآن أن الآلية الأساسية لعدم التماثل هي تظليل مختلف من زوايا مختلفة من مدى التأثير. وبالتالي، فإن تقليل سماكة الامتصاص سيكون الطريقة الأكثر مباشرة لحل المشكلة.[159]

الطباعة العشوائية لـ SRAFs

النقش العشوائي لصور مساعدة ذات تصميم فرعي. تتلقى SRAF كميات منخفضة بما يكفي وهي قريبة بما يكفي للنقش بحيث يكون لها تأثير عشوائي أكبر على النقش. وهنا يحدث خطأ طباعة SRAF في أقصى اليمين.

نظراً لأن SRAFs هي صور أصغر من الصور الأساسية وليس من المفترض أن تتلقى كميات عالية بما يكفي للنقش، فهي أكثر عرضة لتغيرات الكمية العشوائية التي تسبب أخطاء في النقش.[160] يعد هذا أمراً محظوراً بشكل خاص بالنسبة لـ EUV، حيث تعاني SRAF من النقش العشوائي حتى عند نقش الصورة الأساسية بسرعة 80 mJ/cm2.

تحسين قناع المصدر

تحسين قناع المصدر (SMO) يستخدم لتقليل تغيير النقش لميزات مختلفة في طبقة معدنية (يستهدف قرص مضغوط بحجم 16 نانومتر مع درجة ارتساء 32 نانومتر) في تعريض واحد، ولكن لا يمكن أن يلبي كل حالة ممكنة.
تأثير الخطوات على SMO. قد يكون تنفيذ SMO الذي يستهدف خطوة واحدة (32 نانومتر في هذه الحالة) أداء متفاوتاً للخطوات الأخرى. هنا 36 نانومتر لديها أفضل أداء، لكنها بالكاد تتجاوز الحد الأدنى من NILS 2.0

نظراً لتأثيرات اللامركزية، فإن أشكال الإضاءة القياسية للبؤرة، مثل القرص أو الحلقة، ليست كافية لاستخدامها في أحجام الصور التي تبلغ حوالي ~20 nm أو أقل (عقدة ذات 10 nm وما بعدها).[102] بدلاً من ذلك، يجب استبعاد أجزاء معينة من البؤرة (غالباً أكثر من 50٪) بشكل غير متماثل. حيث تعتمد الأجزاء التي سيتم استبعادها على النقش. على وجه الخصوص، يجب محاذاة الخطوط الأكثر كثافة المسموح بها على طول اتجاه واحد وتفضل الشكل ثنائي القطب. في هذه الحالة، ستكون ليثوغرافيا ذات التعريض المزدوج مطلوبة للأنماط ثنائية الأبعاد، نظراً لوجود كل من الأنماط الموجهة X و Y، كل منها يتطلب قناع نقش 1D الخاص به واتجاه ثنائي القطب.[161][162]قد يكون هناك 200-400 نقطة مضيئة، تساهم كل منها بوزن الكمية لموازنة الصورة الكلية من خلال التركيز البؤري. وبالتالي فإن تأثير ضجيج الطلقات (التي ستتم مناقشتها لاحقاً) يؤثر بشكل حاسم على موضع الصورة من خلال التركيز، في مجموعة كبيرة من الصور.

قد يكون التصميم المزدوج أو المتعدد مطلوباً أيضاً إذا تكون النقش من نقوش فرعية تتطلب إضاءات محسّنة مختلفة اختلافاً كبيراً، بسبب اختلاف الخطوات والتوجهات والأشكال والأحجام.

تأثير موضع الشق والانحرافات

تأثير الأطوال الموجية المختلفة. لدى الأطوال الموجية المختلفة بؤر مختلفة بشكل فعال، مما يؤدي إلى نتائج مختلفة لتحسين قناع المصدر.
تختلف فعالية SMO عبر موضع الشق إلى حد كبير بسبب شكل الشق، [119] ووجود انحرافات متبقية،[163].[164]في كل موضع شق، توجد انحرافات مختلفة [133] وزوايا مختلفة من السمت تؤدي إلى تظليل مختلف.[38] وبالتالي، يمكن أن تكون هناك اختلافات غير مصححة عبر الشق للسمات الحساسة للانحراف، والتي قد لا تظهر بوضوح مع أنماط مساحة الخط العادية.[155]في كل موضع شق، على الرغم من أنه يمكن أيضًا تطبيق  تصحيح القرب البصري (OPC)، بما في ذلك ميزات المساعدة المذكورة أعلاه، لمعالجة الانحرافات،[165][166] فهي أيضاً تتفاعل مع مواصفات الإضاءة،[167][164][168][169] نظراً لاختلاف البدائل وفقاً لظروف الإضاءة المختلفة. [165] قد يستلزم ذلك استخدام مجموعات مختلفة من قناع المصدر في كل موضع شق، أي تعرضات متعددة للأقنعة لكل طبقة.[133]

تؤدي الانحرافات اللونية المذكورة أعلاه، بسبب الاستحداث الناتج عن القناع، [78] أيضاً إلى تحسينات قناع المصدر غير المتسقة لأطوال موجية مختلفة.

الإضاءة المثلى مقابل الخطوات

تراجع جودة الصورة مع تقليل الخطوة في ظل إضاءة EUV التقليدية. وقد أدى ذلك إلى مزيد من الاهتمام بأنماط الإضاءة الأخرى مثل كوازار.

الإضاءة المثلى، مع الأخذ في الاعتبار كلاً من كمية التعريض وفتحات التركيز، هي دالة ثابتة للخطوة في النطاق بين 32 nm و 48 nm (المرتبطة بعقد المسبك  nm and 10 nm)، حيث يتواجد تركيز العمل على تطبيق EUV. بالنسبة إلى الخطوات الأكبر من 44 nm، يُفضل أن يكون شكل حدقة الإضاءة تقليدياً، وهو عبارة عن قرص دائري، ومن المحتمل أن يشتمل على تعتيم مركزي لتوفير مظهر حلقي.[146] بالنسبة إلى الخطوات في النطاق 44 nm وصولاً إلى 34 nm، لم يعد الشكل الأمثل تقليدياً أو حلقياً ولكنه أكثر شكلًا مثل حلقي رباعي الشكل "quasar" (Quadrupole-shaped annular)[170] المصدر، أي قوس داخل كل ربع من البؤرة.[146]بالنسبة للخطوات التي تبلغ 32 nm وأقل، تصبح الإضاءة المثلى أكثر ثنائيات الأقطاب، أي تتركز نحو الأعلى والأسفل أو النهايتين اليسرى واليمنى للحدقة.[124] عندما يتم إجراء تحسين قناع المصدر، فإن الشكل الناتج سيشابه الأقرب للمجموعة القياسية (تقليدي، حلقي، كوازار، ثنائي القطب). بالنسبة إلى الخطوات التي تقل عن 41 نانومتر، يجب استبعاد الجزء المركزي من الحدقة لأداة ذات NA = 0.33، حيث إن ضوء EUV ذو 13.5 nm الذي يمر عبر هذا الجزء سيسهم فقط في ترتيب الانعراج الصفري (الضوء غير المتناثر)، إضافة فعالة التوهج.[171]

الخطوة شكل الإضاءة القياسي هو الأقرب إلى الأمثل
48 nm تقليدي/حلقي
44 nm كوازار أو تقليدي / حلقي
40 nm كوازار
36 nm كوازار
32 nm ثنائي القطب
28 nm ثنائي القطب

فتحات التركيز المعتمدة على الخطوات

يختلف أفضل تركيز لحجم صورة معين كدالة ثابتة للميل والقطبية والتوجيه تحت إضاءة معينة.[172]عند درجة 30 nm، تتميز ميزات الحقل المظلم الأفقي والرأسي بأكثر من 30 nm فرق تركيز. تتميز صورة الخطوة 34 nm و الخطوة 48 nm بأكبر فرق في التركيز الأفضل بغض النظر عن نوع الصورة. في نطاق الخطوة 48-64 نانومتر، ينتقل بشكل تقريبي أفضل موضع تركيز بؤري خطياً كدالة للخطوة، بما يصل إلى 10-20 نانومتر.[173] بالنسبة لنطاق الاهتزاز 34-48 nm، فيتحول أفضل موضع تركيز بؤري خطياً تقريباً في الاتجاه المعاكس كدالة للخطوة. يمكن ربط ذلك بفرق الطور بين ترتيبات الانعراج الصفرية والأولى.[174]تم العثور على صور مساعدة، إذا تلاءمت مع الخطوة، لا يتم التقليل من هذا الاتجاه بشكل كبير، لمجموعة من الخطوات المتوسطة،[175] أو حتى أسوأ من ذلك بالنسبة لحالة 18-27 نانومتر وإضاءة الكوازار.[176] لـ 50 nm فتحات التلامس على 100 nm و 150 خطوة مواضع تركيز أفضل المفصولة بنحو 25 nm ومن المتوقع أن تكون الصور الأصغر أسوأ.[177] أظهرت ثقوب التلامس في نطاق الخطوة 48-100 nm أفضل نطاق تركيز بؤري 37 nm[178] حيث يعتمد أفضل موضع تركيز بؤري مقابل الخطوة أيضاً على المقاومة.[179]فغالباً ما تحتوي الطبقات الحرجة على خطوط عند حد أدنى واحد من قطبية واحدة، على سبيل المثال، خنادق\حفر المجال المظلم، في اتجاه واحد، على سبيل المثال، عمودياً، مختلطاً بمسافات قطبية أخرى من الاتجاه الآخر. يؤدي هذا غالباً إلى تضخيم أفضل لاختلافات التركيز، يقوم باعتراض التصوير من طرف إلى طرف ومن طرف إلى خط.[180]

إضاءات للعقد المتقدمة

بالنسبة لعقدة المسبك "5nm"، يُؤخذ الحد الأدنى من ميل المعدن للخطوط الأفقية ليكون حوالي 32 نانومتر،[181] التي يُفضل فيها الإضاءة الشبيهة بالقطب الثنائي، ولكن الحد الأدنى من الميل المعدني للخطوط العمودية (الموازية للبوابات) يبلغ حوالي 40 نانومتر،[181] التي تُفضل الإضاءة التي تشبه الكوازار. علاوة على ذلك، بالنسبة لعقدة السبك "7nm"، فيكون الحد الأدنى من ميل المعدن للخطوط الأفقية حوالي 40 nm،[181] التي يُتوقع لها إضاءة شبيهة بالكوازار، في حين أن الحد الأدنى من ميل المعدن للخطوط العمودية يمكن اعتباره حوالي 50 nm,[181] الذي يُفضل الإضاءة التقليدية أو الحلقيّة. بالنسبة لإضاءة الكوازار، فإن أفضل موضع تركيز بؤري يختلف بشدة كدالة للاهتزاز، خاصة 36-40 nm مقابل 48-60 nm، وكذلك على مدى 48-72 nm.[182] بالنسبة لهذه العقد، من المستحيل أن يكون لديك إعداد إضاءة تعريض ضوئي EUV واحد يناسب كلا اتجاهي الخط المعدني عند درجات مختلفة لكل منهما. من المتوقع أن تكون الطبقات المعدنية أحادية الاتجاه لهذه العقد على أي حال.[181] من المتوقع أن تظل فجوة الخط من طرف إلى طرف في هذه الحالة صغيرة بما يكفي باستخدام التعريضات المقطوعة في سيناريو التصميم متعدد الأشكال.[124]

أقنعة الإزاحة الطورية

ملف تعريف الطور لقناع إزاحة الطور المخفف لـ EUV. لا يتطابق ملف تعريف الطور (الأحمر) لقناع إزاحة الطور المخفف المستخدم مع ممتص الأشعة فوق البنفسجية (EUV) جزئيًا مع تصميم المظهر الجانبي المثالي (منقط) ، بسبب الإضاءة المائلة وتشتت حافة الامتصاص.

كانت الميزة الشائعة لـ EUV هي السهولة النسبية ليثوغرافيا، كما يتضح من نسبة حجم الصورة إلى الطول الموجي مضروباً في الفتحة العددية، والمعروفة أيضاً باسم نسبة k1. يبلغ عرض خط المعدن 18 nm قيمة k1 تبلغ 0.44 لطول موجي يبلغ 13.5 nm 0.33 NA، على سبيل المثال. بالنسبة لـ k1 الذي يقترب من 0.5، تم استخدام بعض تحسينات الدقة الضعيفة بما في ذلك أقنعة إزاحة طورية مخففة كأساس للإنتاج باستخدام الطول الموجي لليزر ArF ((193 nm)،[183][184][185][186][187][188] بينما لا يتوفر تحسين الدقة هذا لـ EUV.[189][190] على وجه الخصوص، فإن تأثيرات القناع ثلاثي الأبعاد بما في ذلك التشتت عند حواف الامتصاص تشوه ملف تعريف الطور المطلوب. [190] أيضاً، يتم اشتقاق ملف تعريف الطور بشكل فعال من طيف الموجة المستوية المنعكس من الطبقة المتعددة من خلال الممتص بدلاً من الموجة السطحية الواقعة\الساقطة.[191]بدون الماصات، يحدث تشوه المجال القريب أيضاً في جدار جانبي محفور متعدد الطبقات بسبب إضاءة السقوط المائلة;[192]يمر بعض من الضوء فقط بعدد محدود من الطبقات الثنائية بالقرب من الجدار الجانبي. [91] بالإضافة إلى ذلك، فإن للاستقطابات المختلفة (TE و TM) تحولات طور مختلفة.[91]

الإلكترونات الثانوية

يولد ضوء EUV إلكترونات ضوئية عند امتصاص المادة. تولد هذه الإلكترونات الضوئية بدورها إلكترونات ثانوية تتباطأ قبل الانخراط في تفاعلات كيميائية.[193] عند الكميات الكافية من المعروف أن يقوم 40 eV إلكتروناً باختراق مقاومة سميكة تبلغ 180 نانومتراً مما يؤدي إلى الإزالة.[194] بكمية 160 μC/cm2، المقابلة لكمية 15 mJ/cm2 EUV بافتراض إلكترون / فوتون واحد، تمت إزالة 30 eV إلكتروناً 7 nm من مقاومة PMMA بعد الإزالة المعيارية.[195] لكمية أعلى 30 eV من 380 μC/cm2، أي ما يعادل 36 mJ/cm2 عند إلكترون / فوتون واحد، تتم إزالة 10.4 نانومتر من مقاومة PMMA.[196]تشير هذه إلى المسافات التي يمكن أن تقطعها الإلكترونات في المقاومة، بغض النظر عن الاتجاه.[197]

في أحدث قياس للتأثير الكبير للإلكترونات الثانوية على الدقة، وجد أن 93 eV إلكتروناً ضوئياً (من طبقة سفلية ذهبية) لها طول توهين 1 / e يبلغ 28 نانومتر في المقاومة.[198] تم قياس توهين رقم الإلكترون من جزء الإلكترونات المحصورة في تيار كهربائي من المقاومة. يشير هذا إلى استمرار 37 ٪ من الإلكترونات المحررة بالانتقال بعد 28 نانومتر من نقطة إطلاق التعرض.

تم توفير مزيد من التفاصيل حول الإلكترونات الثانوية في التعرض لمقاومة الضوء EUV أدناه.

التعرض المقاوم للضوء

عندما يتم امتصاص فوتون الأشعة فوق البنفسجية، يتم إنشاء الإلكترونات الضوئية و الإلكترونات الثانوية عن طريق التأين، تماماً مثل ما يحدث عندما تمتص المادة الأشعة السينية أو حزم الإلكترون.[199] ينتج عن كمية الفوتون 10 mJ/cm2 EUV توليد كمية 109 uC/cm2 من الإلكترونات الضوئية. فكلما زادت المقاومة عالية الامتصاص، يتم إزالة المزيد من الضوء الموجود في الجزء العلوي من المقاومة، مما يترك القليل لقاع المقاومة. يؤدي الامتصاص الأكبر إلى اختلافات أكبر وأكثر دلالة بين الكميات التي تم امتصاصها في أعلى وأسفل المقاومة.

العمق المقاوم امتصاص (1/um) امتصاص (5/um) امتصاص (20/um)
أعلى 10 nm 1% 5% 18%
عمق 10–20 nm 1% 4.5% 15%
عمق 20–30 nm 1% 4.5% 12%
عمق 30–40 nm 1% 4% 10%
عمق 40–50 nm 1% 4% 8%

بمعنى آخر، كلما قل امتصاص المقاومة، كلما كان الامتصاص عمودياً أكثر انتظاماً. بشكل تقليدي، يتم تصنيع مقاومات الضوء بأكبر قدر ممكن من الشفافية للسعي لتحقيق هذا الانتظام الرأسي، والذي يتيح تشكيلات مقاومة أكثر استقامة. من ناحية أخرى، بالنسبة لـ EUV، يتعارض هذا مع هدف زيادة الامتصاص لمزيد من الحساسية عند مستويات طاقة EUV الحالية. يشكل ضجيج الطلقات مصدر قلق آخر، سيتم شرحه بمزيد من التفصيل أدناه.

تأثير الإلكترون الضوئي وانتقال الإلكترون الثانوي على الثبات

تغير ضبابية الإلكترون منخفض الطاقة الصورة عن طريق تقليل تدرج الكمية الموضعية.
نزوح الإلكترون منخفض الطاقة. يمكن أن تصل مسافة انتقال الإلكترون منخفضة الطاقة (r) إلى عدة نانومترات على الأقل، على الرغم من أن المسافة بين اصطدام الإلكترون (التشتت) هي 1 نانومتر.

أشارت دراسة أجرتها كلية العلوم والهندسة النانوية (CNSE) المقدمة في ورشة عمل EUVL لعام 2013 إلى أنه، كمقياس للإلكترون الضوئي EUV وطمس الإلكترون الثانوي، تقوم إلكترونات 50-100 eV بالتغلغل بسهولة بما يتجاوز 15 nm من سماكة المقاومة (PMMA أو مقاومة تجارية)، تشير إلى أكثر من 30 نانومتر من نطاق المقاومة المتأثر المتمركز على نقطة الامتصاص EUV، للجرعات التي تتجاوز 200–300 uC/cm2.[200] يمكن مقارنة ذلك تراجع تباين الصورة الذي تم تقريره في الخطوات الفرعية 40 nm لاحقاً في عام 2015.[66]

تكون عملية اختراق الإلكترون من خلال المقاومة هي في الأساس عملية عشوائية؛ وهناك احتمال محدود بأن مقاومة التعرض للإلكترونات المحررة يمكن أن تحدث بعيداً عن نقطة امتصاص الفوتون.[201][202] حيث تؤدي زيادة الكمية إلى زيادة بعيدة المدى لعدد الإلكترونات، مما يؤدي إلى زيادة فقدان المقاومة. وقد أظهرت المقاومة الرئيسية تضخيماً كيميائياً في EUV متعرضةً لـ80 eV إلكتروناً بكمية تصل إلى 80 uc/cm2 مقاومة فقدان سماكة تصل إلى7.5 nm.[203] بالنسبة لمقاومة مفتوحة المصدر تتعرض بالقرب من 200 uC/cm2 بمقدار 80 eV، فإن سماكة المقاومة المفقودة بعد التسخين بعد التعرض والتحميض كانت حوالي 13 nm، بينما أدت مضاعفة الكمية إلى زيادة خسارة إلى 15 nm.[63] من ناحية أخرى، بالنسبة للكميات >500 uC/cm2، حيث تبدأ المقاومة في التكاثف بسبب التشابك.[203]

لقد ثبت تأثير درجة انبعاث الإلكترون الضوئي من الطبقة الكامنة لمقاومة الضوء EUV على عمق التركيز.[204] لسوء الحظ، تميل طبقات القناع الصلب إلى زيادة انبعاث الإلكترون الضوئي، مما يؤدي إلى تدهور عمق التركيز.

ضبابية الإلكترون الثانوي مقابل الكمية

بابية الإلكترون الثانوي مقابل الكمية. يمكن أن تزداد ضبابية الإلكترون الثانوي بشكل ملحوظ مع زيادة الكمية.
انتشار الإلكترون الثانوي مقابل الكمية. يزيد الانتشار الشعاعي للإلكترون الثانوي من مصدر نقطي كدالة للكمية.

تم استخدام قياسات التيار الضوئي المباشر للحصول على نتائج الإلكترون الثانوية استجابة لإشعاع EUV. وتظهر عمليات المحاكاة التي تمت معايرتها تقريباً لهذا الناتج ازدياد ضبابية الإلكترون الثانوي مع الكمية.[205] يرتبط هذا بعدد أقل من مواقع الالتقاط مع زيادة الكمية واستمرار التفاعلات التي تستنفد مواقع الالتقاط.

كما تزداد التعرضات التي يسببها الإلكترون منخفضة الطاقة في العدد على مسافة معينة من موقع امتصاص الفوتون، مع زيادة الكمية. تم تأكيد ذلك من خلال قياسات فقدان سمك المقاومة كدالة لكمية الإلكترون منخفضة الطاقة.[206] كان الانتشار المعتمد على الكمية للإلكترونات الثانوية أيضاً من قبل من الليثوغرافيا لشعاع الإلكترون.[207]

زيادة ضبابية الإلكترون الثانوية مع زيادة الكمية تجعل التحكم في العيوب العشوائية أكثر صعوبة.[208]

الشحن وحصر الإلكترونات

قد تتقلب شحنة المقاومة بشكل موضعي، بسبب إنتاج إلكترونات ثانوية من طاقات مختلفة.[209] يؤدي التعرض لـ EUV مع ضبابية أقل إلى اختلافات أكثر وضوحاً في الشحن عند حافة الميزة،[210] والتي يمكن أن تؤدي إلى مجالات كهربائية أكبر.[211]وقد لوحظ أن مثل هذه المجالات الكهربائية الكبيرة تؤدي إلى انهيار العازل.[209] يؤدي حصر الإلكترونات الثانوية إلى تقليل الإلكترونات الثانوية المنبعثة من الطبقة الرقيقة;[209]ومع ذلك، قد يتم استنفاد مواقع الحصر نفسها، مما يؤدي إلى تمويه إلكترون ثانوي ممتد بشكل فعال لكميات أكبر.[205] من المتوقع أن يحدث الاصطدام الإلكتروني كجزء من السلوك القطبي،[212][213]التي تحصر ترسب الطاقة النهائية للإلكترون في مواقع مكان الحصر. يمكن أن يكون حجم الپولارون كبيراً جداً في المقاومة، على سبيل المثال، 46 nm في PMMA.[213]

حساسية DUV

تكون مقاومات EUV قابلةً للكشف أيضاً بأطوال موجية أطول من EUV، خاصة أطوال موجات VUV و DUV في النطاقات 150-250 نانومتر.[214]

التنفيث المقاوم

تنفيث غازات التلوث مقابل كمية EUV: تأتي زيادة الجرعة إلى الحجم (Esize) لتقليل ضجيج الطلقات والخشونة في قيمة زيادة التلوث الناتج عن إطلاق الغازات. يتعلق سمك التلوث الموضح هنا بمقاومة مرجعية.

نظراً للكفاءة العالية لامتصاص الأشعة فوق البنفسجية من قبل المقاومات الضوئية، يصبح التسخين وإطلاق الغازات من الاهتمامات الأساسية. تفوق مقاومات الضوء العضوية غاز الهيدروكربونات[215] بينما يتفوق أكسيد الفلز المقاوم للضوء على الماء والأكسجين[216] والمعادن (في محيط هيدروجين)؛ الأخير غير قابل للتنقية.[47] من المعروف تأثير التلوث الكربوني على الانعكاسية متعددة الطبقات[217] في حين يكون الأكسجين ضاراً بشكل خاص بطبقات تغطية الروثينيوم على البصريات متعددة الطبقات EUV.[218]

آثار التلوث

إحدى المشكلات المعروفة هي ترسب التلوث على المقاومة من الهيدروكربونات المحيطة أو الخارجة من الغازات، والتي تنتج عن تفاعلات الإشعاع فوق البنفسجي أو الإلكترونات المقادة.[219]

الآثار الجانبية للهيدروجين لإزالة التلوث: إعادة ترسيب القصدير، ظهور التقرحات، مقاومة التآكل

يتم استخدام الهيدروجين الذري في حجرات الأدوات لتنظيف القصدير و الكربون الذين يترسبان على الأسطح البصرية EUV.[220]يستمر التفاعل مع القصدير في مصدر الضوء أو المقاومة أو على سطح بصري لتشكيل SnH4 متطاير عبر التفاعل:

Sn (s) + 4H (g) → SnH4 (g).[220]

يمكن أن يصل SnH4 إلى طبقات طلاء الأسطح الضوئية الأخرى لـ EUV، حيث يعيد ترسيب Sn عبر التفاعل:

SnH4 → Sn (s) + 2H2 (g).[220]

قد يحدث إعادة التموضع أيضاً من خلال تفاعلات وسيطة أخرى.[221]

Sn[46][47] يمكن إزالتها لاحقاً عن طريق التعرض للهيدروجين الذري. ومع ذلك، بشكل عام، فإن كفاءة تنظيف القصدير أقل من 0.01٪، بسبب كل من إعادة الترسيب وامتصاص الهيدروجين، مما يؤدي إلى تكوين جزيئات الهيدروجين على حساب الهيدروجين الذري.[220]

تتم إزالة نواتج الكربون عن طريق تكوين الميثان عند درجات حرارة منخفضة أو تكوين الأستيلين عند درجات حرارة أعلى:[220]

H (ads) + C → CH (ads)
تكوين الميثان:
CH (ads) + H (ads) → CH2 (ads)
CH2 (ads) + H (ads) => CH3 (ads)
CH3 (ads) + H (ads) => CH4 (g)
تكوين الأستيلين:
CH (ads) + CH (ads) → C2H2 (g)

يتم إنتاج الهيدروجين الذري بواسطة ضوء EUV بتأين ضوئي بشكل مباشر H2:

hν + H2 → H+ + H + e[222]

قد تتفكك الإلكترونات المتولدة في التفاعل أعلاه أيضاً من H2 لتكوين الهيدروجين الذري:

e + H2 → H+ + H + 2e[222]
عيوب التقرحات التي يسببها الهيدروجين. يمكن للهيدروجين الذري (النقاط الحمراء) المستخدم في تنظيف الأسطح أن يخترق تحت السطح. في الطبقات المتعددة Mo Si، يتم تشكيل H2 (نقاط حمراء مزدوجة)، مما يؤدي إلى تكوين نفطة (منطقة بيضاء).

يتفاعل الهيدروجين أيضاً مع المركبات المحتوية على معادن لتحويلها لمعدن فقط،[223] وينتشر من خلال السليكون[224] والمولبدنم[225]في الطبقات المتعددة، مما يؤدي في النهاية إلى ظهور فقاعات\تقرحات.[226][227] غالباً ما تقلل طبقات التغطية التي تخفف من الأضرار المرتبطة بالهيدروجين الانعكاسية إلى أقل بكثير من 70 ٪.[226] من المعروف أن طبقات السد قابلة للاختراق للغازات المحيطة بما في ذلك الأكسجين[228] والهيدروجين،[229][230][231][232]وكذلك عرضة لعيوب التقرحات التي يسببها الهيدروجين.[233] قد يتفاعل الهيدروجين أيضاً مع طبقة السد، مما يؤدي إلى إزالتها.[234] يتفاعل الهيدروجين أيضاً مع مقاومة الحفر[235][236] أو يقوم بتحليلها[237] إلى جانب مقاومة الضوء، يمكن لپلازما الهيدروجين أيضاً حفر السليكون، وإن كان ذلك ببطء شديد.[238]

الغشاء

للمساعدة في التخفيف من التأثيرات المذكورة أعلاه، فإن أحدث أداة EUV تم تقديمها في عام 2017، NXE: 3400B، الذي تتميز بغشاء يقوم بفصل الرقاقة عن بصريات الإسقاط الخاصة بالأداة، مما يحمي الأخير من إطلاق الغازات من المقاومة على الرقاقة. [49] يحتوي الغشاء على طبقات تمتص إشعاع DUV و IR، وينقل 85-90٪ من إشعاع EUV الساقط. يتواجد التلوث المتراكم الناتج عن إطلاق غازات الرقاقات وكذلك الجسيمات بشكل عام (على الرغم من أن الأخيرة خارج نطاق التركيز، إلا أنها قد لا تزال تعيق الضوء).

عيوب القناع

قابلية نقش عيب قناع EUV. يمكن أن تؤثر العيوب ذات الارتفاعات ذات المقياس الذري على الأبعاد المطبوعة بواسطة الأشعة فوق البنفسجية على الرغم من دفنها بواسطة طبقات عديدة. المصدر: مختبر لورنس بيركلي الوطني وإنتل.
قابلية طباعة عيب EUV مقابل الخطوة. تختلف قابلية الطباعة (هنا 10٪ قرص مضغوط) لعيب بارتفاع وعرض معين مع الخطوة. لاحظ أنه حتى خشونة السطح على الطبقة المتعددة هنا يمكن أن يكون لها تأثير ملحوظ.

يعد تقليل العيوب الموجودة في أقنعة الأشعة فوق البنفسجية الشديدة (EUV) حالياً أحد أهم المشكلات التي يجب معالجتها لتسويق ليثوغرافيا EUV.[239] يمكن غمر العيوب تحت المكدس متعدد الطبقات أو داخله[240] أو أن تكون على قمة المكدس متعدد الطبقات. تتشكل النتوءات أو البروزات على أهداف الرش المستخدمة للترسيب متعدد الطبقات، والتي قد تسقط كجزيئات أثناء الترسيب متعدد الطبقات.[241] في الواقع، لا يزال من الممكن طباعة عيوب ارتفاع المقياس الذري (0.3–0.5 nm) مع 100 nm FWHM من خلال إظهار تأثير القرص المضغوط بنسبة 10٪.[242] ذكرت كل من آي بي إم و توپان في فوتوماسك اليابان 2015 أن العيوب الأصغر، على سبيل المثال، حجم 50 nm، يمكن أن يكون لها تأثير قرص مضغوط بنسبة 10 ٪ حتى مع ارتفاع 0.6 nm، ومع ذلك تظل غير قابلة للكشف.[243]

علاوة على ذلك، ستقلل حافة عيب الطور reflectivity بأكثر من 10٪ إذا تجاوز انحرافها عن التسطيح 3 درجات، بسبب الانحراف عن هدف زاوية السقوط 84 درجة بالنسبة للسطح. حتى إذا كان ارتفاع العيب ضحلًا، فإن الحافة لا تزال تشوه الطبقة المتعددة العلوية، مما ينتج عنه منطقة ممتدة حيث تكون الطبقة المتعددة منحدرة. كلما زاد التشوه بشكل مفاجئ، كلما كان امتداد حافة العيب أضيق، زاد الخسارة في الانعكاسية.

يكون إصلاح عيب قناع EUV أكثر تعقيداً أيضاً بسبب اختلاف الإضاءة عبر الشق المذكور أعلاه. نظراً لتفاوت حساسية التظليل عبر الشق، يجب التحكم في ارتفاع ترسيب الترميم بعناية شديدة، حيث يكون مختلفاً في مواضع مختلفة عبر فتحة إضاءة قناع EUV.[244]

ضرر متعدد الطبقات

يمكن أن تراكم نبضات EUV المتعددة عند أقل من 10 mJ/cm2 ضرراً لعنصر بصري عاكس متعدد الطبقات Mo/Si.[245]حيث تكون زاوية السقوط 16° أو 0.28 rads، والتي تقع في نطاق الزوايا لنظام بصري ذو 0.33 NA.

الأغشية الرقيقة

تحتاج أدوات إنتاج EUV إلى أغشية رقيقة لحماية القناع من التلوث. ولكن في الوقت الحالي لم يتم ضمان قدرة الأغشية الرقيقة على تحمل طاقة 250 واط اللازمة للتصنيع بكميات كبيرة؛ بمواصفات 40 واط.[246]

من المتوقع عادةً أن تقوم الأغشية الرقيقة بحماية القناع من الجزيئات أثناء النقل أو الدخول إلى حجرة التعرض أو الخروج منها، فضلاً عن التعرض نفسه. بدون هذه الأغشية الرقيقة، ستقلل إضافات الجسيمات من الناتج، وهو ما لم يكن مشكلة بالنسبة لليثوغرافيا الضوئية التقليدية باستخدام ضوء 193 nm والأغشية الرقيقة. ومع ذلك، بالنسبة لـ EUV، تواجه جدوى استخدام الأغشية الرقيقة تحديات شديدة، بسبب الرقة المطلوبة لأغشية التدريع لمنع الامتصاص المفرط لـ EUV. قد يكون تلوث الجسيمات ممنوعاً إذا لم تكن الأغشية الرقيقة مستقرة فوق 200 واط على سبيل المثال، وهي الطاقة المستهدفة للتصنيع.[247]

يشكل تسخين الغشاء الرقيق الخاص بقناع EUV (تصل درجة حرارة الفيلم إلى 750 كلفن لقدرة تعرض 80 واط) مصدر قلق كبير، بسبب التشوه الناتج وانخفاض الانتقال.[248] قامت ASML بتطوير غشاء رقيق متعدد السليكون بسمك 70 nm، والذي يسمح بنقل EUV بنسبة 82٪؛ ومع ذلك، نجت أقل من نصف الأغشية من مستويات طاقة EUV المتوقعة.[249]وقد فشلت أغشية SiNx الرقيقة أيضاً عند مستويات طاقة مصدر EUV تعادل 82 واط.[250] عند المستويات المستهدفة 250 واط ، من المتوقع أن تصل درجة حرارة الغشاء الرقيق إلى 686 درجة مئوية،[251] فوق نقطة انصهار الألومنيوم. تحتاج المواد البديلة إلى السماح بنقل كافٍ وكذلك الحفاظ على الاستقرار الميكانيكي والحراري. ومع ذلك، فإن الگرافيت أو الگرافين أو المواد النانوية الكربونية الأخرى (الصفائح النانوية والأنابيب النانوية) تتلف بواسطة الأشعة فوق البنفسجية بسبب إطلاق الإلكترونات[252] وأيضاً المحفورة بسهولة في پلازما تنظيف الهيدروجين المتوقع نشرها في أجهزة مسح الأشعة فوق البنفسجية.[253]حيث يمكن لپلازما الهيدروجين حفر السليكون أيضًا.[254][255] ويساعد الطلاء على تحسين مقاومة الهيدروجين، ولكن هذا يقلل من النقل و / أو الانبعاث، وقد يؤثر أيضاً على الاستقرار الميكانيكي (على سبيل المثال، الانتفاخ).[256]النقص الحالي في أي مادة غشائية رقيقة مناسبة، والذي تفاقم بسبب استخدام الپلازما الهيدروجينية في ماسح الأشعة فوق البنفسجية،[257][258]حيث يمثل عقبة أمام حجم الإنتاج.[259]

يمكن أن تسبب التجاعيد\الشوائب الموجودة على الأغشية الرقيقة عدم انتظام CD بسبب الامتصاص غير المتكافئ؛ هذا أسوأ بالنسبة للتجاعيد\الشوائب الأصغر والإضاءة الأكثر تماسكاً\إحكاماً، أي في ملء الحدقة السفلية.[260]

أفادت ASML، المورد الوحيد لأداة EUV، في يونيو 2019 أنه لا تزال الأغشية الرقيقة المطلوبة للطبقات الحرجة بحاجة إلى تحسينات.[261]

في حالة عدم وجود أغشية رقيقة، يجب التحقق من نظافة قناع EUV قبل انكشاف رقائق المنتج الفعلية، باستخدام رقائق معدة خصيصاً لفحص العيوب.[262]يتم فحص هذه الرقاقات بعد الطباعة للعيوب المتكررة التي تشير إلى اتساخ القناع؛ في حالة العثور على أي منها، يجب تنظيف القناع والكشف عن مجموعة أخرى من رقائق الفحص، مع تكرار التدفق حتى يصبح القناع نظيفاً. حيث يجب إعادة صياغة أي من الرقائق المنتجة المتأثرة.

عيوب الانتفاخات الهيدروجينية

كما تم مناقشته أعلاه، فيما يتعلق بإزالة التلوث، يمكن للهيدروجين المستخدم في أنظمة EUV الحديثة اختراق طبقات قناع EUV. وبمجرد حصره، يتم إنتاج عيوب الانتفاخ.[233] وهي الأساس في عيوب البثور التي تنشأ بعد عدد كافٍ من حالات التعرض لأقنعة EUV في بيئة هيدروجينية.

حدود قياس الإنتاجية

يواجه تصميم الليثوغرافيا EUV مستقبلاً تحديات في الحفاظ على الإنتاجية، أي عدد الرقاقات التي تتم معالجتها بواسطة أداة EUV يومياً. تنشأ هذه التحديات بسبب الحقول الأصغر والمرايا الإضافية وضجيج الطلقات. ومن أجل الحفاظ على الإنتاجية، يجب زيادة الطاقة عند التركيز المتوسط (IF) بشكل مستمر.

الحقول المصغرة

تقليل حجم الحقل عن طريق إزالة التضخم. تؤدي زيادة نسبة التضخيم من 4X إلى 8X في بُعد واحد إلى تقسيم مجال التصوير الأصلي الكامل إلى جزأين للحفاظ على نفس منطقة القالب (26 مم × 33 مم).
تجميع الحقل. يشكل تجميع حقول التعريض معاً مصدر قلق حيث تعبر الصور الهامة حدود الحقل (الخط الأحمر المنقط).

يجري إعداد عدسة بصرية مشوهة مع NA بين 0.5 و 0.6 اعتباراً من عام 2016. وستكون إزالة التضخيم 8X في أحد الأبعاد و 4X في البعد الآخر، وستزداد زاوية الانعكاس.[263]

ستؤدي نسبة إزالة التضخيم العالية إلى زيادة حجم القناع أو تقليل حجم الحقل المطبوع. سيؤدي تقليل حجم الحقل إلى تقسيم نقوش الرقائق بالحجم الكامل (عادةً ما تستغرق 26 mm × 33 mm) بين اثنين أو أكثر من أقنعة EUV التقليدية مقاس 6 بوصات. (تقترب الرقائق الكبيرة أو تزيد عن 500 mm2)، والتي تُستخدم عادةً لوحدات معالجة الرسومات[264] أو المخدمات،[265] would have to be stitched together from two or more sub-patterns from different masks.[266] بدون تجميع الحقل، سيكون حجم القالب محدوداً. من خلال تجميع الحقل، قد تحتوي الصور التي تتخطى حدود المجال على أخطاء في المحاذاة، وسيؤدي إلى زيادة الزمن المطلوب لتغيير الأقنعة إلى تقليل إنتاجية نظام EUV.[267]

ضجيج الطلقات: حد الدقة الإحصائية

يسبب ضجيج الطلقات اختلافات كبيرة في CD . تُظهر مجموعة من الثقوب المنقوشة بخطوة 64 نانومتر تأثيرات ملحوظة لضجيج الطلقات مع كمية EUV تزيد قليلاً عن 10 mJ/cm2. قد تؤدي الكمية الأكبر إلى نفس نطاق التباين على حجم عينة أكبر.

مع توزيع پواسون الطبيعي بسبب أوقات الوصول والامتصاص العشوائية للفوتونات،[268][269] يوجد تباين طبيعي متوقع للجرعة (عدد الفوتونات) والذي لا يقل عن عدة بالمائة 3 سگما، مما يجعل عملية التعرض عرضة للتغيرات العشوائية. يؤدي تغيير الكمية إلى اختلاف في موضع حافة الصورة، ليصبح عنصراً ضبابياً بشكل فعال. على عكس حد الدقة الصارمة الذي يفرضه الانعراج، يفرض ضجيج الطلقات حداً أكثر ليونة، حيث يتمثل المبدأ التوجيهي الرئيسي في مواصفات خشونة عرض خط ITRS (LWR) البالغة 8٪ (3 ثوانٍ) من عرض الخط.[270]حيث تؤدي زيادة الكمية إلى تقليل ضجيج الطلقات،[271]وهذا ما يتطلب أيضاً مصدر طاقة أعلى.

يتم تحديد منطقة صورة مساعدة بعرض 10 nm بطول 10 nm، بكمية دون نقش مستهدف والتي تبلغ 15 mJ/cm2، مع امتصاص بنسبة 10٪، من خلال ما يزيد قليلاً عن 100 فوتون، مما يؤدي لضجيج 6 s بنسبة 59٪، المقابلة لنطاق كمية عشوائية من 6 إلى 24 mJ/cm2، مما قد يؤثر على قابلية النقش.

أظهرت دراسة أجرتها إنتل عام 2017 أنه بالنسبة للفتحات شبه المعزولة (التي يمكن تقريب قرصها الهوائي بواسطة الگاوسية)، كانت حساسية CD للجرعة بشكل خاص،[272] قوية بما يكفي لأن تقليل الجرعة يمكن أن يؤدي الفشل بشكل غير خطي في النقش عبرها.

فشل النقش عبر تقليل الكمية الناجمة عن الضجيج. يمكن أن يؤدي تقليل الكمية التي يسببها ضجيج الطلقات في الحالات القصوى إلى فشل النقش (CD->0).

الحد الأدنى للكمية لتقييد ضضجيج الطلقات لمناطق تحمل سماحية تغير\اختلاف العملية:

سماحية العرض سماحية المنطقة جرعة لمدة 3 ثوانٍ = ضجيج بنسبة 7٪ (1800 فوتون ماص للأشعة فوق البنفسجية، نسبة امتصاص 33٪)
4 nm 16 nm2 496 mJ/cm2
2 nm 4 nm2 1980 mJ/cm2

+ تكون منطقة سماحية تباين العملية هي أكبر منطقة يُسمح فيها بتغيّر العملية.

تنخفض الإنتاجية مع زيادة جرعة مقاومة EUV لأحجام أصغر. يتفاقم هذا الاتجاه بسبب تقليل ملء الحدقة (مما يقلل بشكل فعال من طاقة المصدر إلى أقل من 250 واط) لتقليل نصف الخطوة.

تشير مسألتا ضجيج الطلقات والإلكترونات المنبعثة من الأشعة فوق البنفسجية إلى عاملين مقيدين: 1) الحفاظ على الكمية عالية بما يكفي لتقليل ضجيج الطلقات إلى مستويات مقبولة، ولكن أيضاً 2) تجنب الكمية الكبيرة جداً بسبب زيادة مساهمة الإلكترونات الضوئية الصادرة عن الأشعة فوق البنفسجية والإلكترونات الثانوية في مقاومة عملية التعرض، مما يزيد من ضبابية الحافة وبالتالي الحد من الدقة. بصرف النظر عن تأثير الدقة، تزيد الكمية الأعلى أيضاً من إطلاق الغازات[273] وتحد من الإنتاجية والتشابك[274] التي تحدث عند كميات كبيرة جداً. بالنسبة للمقاومة المضخمة كيميائياً، حيث يزيد التعرض للكمية الكبيرة أيضاً من خشونة حافة الخط بسبب تحلل مولد الحمض.[275]

كما ذكرنا سابقاً، تؤدي مقاومة الامتصاص الأكبر في الواقع إلى تقليل انتظام الكمية الرأسية. هذا يعني أيضاً أن يكون ضجيج الطلقات أسوأ تجاه الجزء السفلي من طبقة مقاومة EUV عالية الامتصاص.

يتأثر موضع نهاية الخط بضجيج الطلقات. يمكن أن يتسبب التباين الطبيعي في عدد فوتونات EUV في تغيير موضع نهاية الخط.

حتى مع الامتصاص العالي، لدى الإشعاع فوق البنفسجي (EUV) قلق أكبر من ناحية ضجيج الطلقات بطول موجي ArF (193 nm)، ويرجع ذلك أساساً إلى أنه يتم تطبيقه على أبعاد أصغر وأهداف الكمية الحالية أقل بسبب مستويات طاقة المصدر المتاحة حالياً.

الطول الموجي نمط المقاومة الامتصاصية السماكة الامتصاص هدف الكمية كمية الفوتون الممتصة
ArF (193 nm) CAR 1.2/μm[276] 0.08 μm 9% 30 mJ/cm2[277] 27 photons/nm2
EUV (13.5 nm) CAR 5/μm[278] 0.05 μm 22% 30 mJ/cm2[279] 4.5 photons/nm2
EUV (13.5 nm) Metal oxide 20/μm[278] 0.02 μm 33% 30 mJ/cm2[279] 7 photons/nm2

كما يتضح أعلاه، عند مستويات جرعة التعرض المستهدفة، يتم امتصاص عدد أقل بكثير من فوتونات EUV في مقاومات EUV مقارنة بفوتونات ArF في مقاومة ArF. على الرغم من زيادة شفافية المقاومة، فإن تدفق الفوتون الساقط يكون أكبر بحوالي 14 مرة (193 / 13.5) لنفس كمية الطاقة لكل وحدة مساحة. يكون سمك المقاومة محدود باعتبارات الشفافية وكذلك بانهيار المقاومة[280] وبالشريط المقاوم[281].

نسبة تعبئة الحدقة

تملأ الحدقة السفلية بالإضاءة المطلوبة للخطوات الأصغر. مع انخفاض الخطوات، يملأ شكل الصفيحة ثنائية القطب جزءاً أصغر من الحدقة، علاوة على ذلك، يمكن استخدام جزء أصغر من شكل الصفيحة هذا.

بالنسبة إلى الخطوات الأقل من نصف الطول الموجي مقسوماً على الفتحة العددية، فإن الإضاءة ثنائية القطب ضرورية. تملأ هذه الإضاءة على الأكثر منطقة على شكل صفيحة على حافة الحدقة. ومع ذلك، بسبب التأثيرات ثلاثية الأبعاد في قناع EUV،[282] تتطلب الخطوات الأصغر أجزاء أصغر من شكل الصفيحة هذه. تبدأ الإنتاجية واستقرار الجرعة في التدهور، عند أقل من 20٪ من الحدقة.

الجاهزية والإنتاجية

في عام 2016، وصلت الإنتاجية في موقع العميل 1200 قطعة في اليوم مع توافر 80٪،[283] بينما أنتجت الأدوات التقليدية 5000 رقاقة في اليوم مع توافر 95٪.[284]اعتبارًا من عام 2017، كانت لا تزال تكلفة عملية 7 nm مع 3 طبقات معدنية منقوشة بواسطة التعرض الفردي لـ EUV أعلى بنسبة 20 ٪ من العملية الحالية متعددة الأساليب غير المتوافقة مع EUV والتي تبلغ 10 نانومتر.[285] ومن ثم، فقد تم نشر النقش المتعدد باستخدام الليثوغرافيا الغمرية للاستحداث بكميات، بينما يُتوقع نشر طريقة EUV في الفترة ما بين 2018-2020.

تاريخ النشر

تم تأخير نشر EUVL للاستحداث بكميات لمدة عشر سنوات،[286][287] على الرغم من وجود جداول زمنية لتوقعات النشر والتي تتراوح من 2 إلى 5 سنوات. كان النشر مستهدفاً في عام 2007 (بعد 5 سنوات من التوقعات في عام 2002)،[286] في 2009 (بعد 5 سنوات من التوقعات)، في 2012–2013 (3–4 سنوات)، في 2013–2015 (2–4 سنوات)،[288][289] في 2016–2017 (2–3 سنوات),[290] وفي 2018–2020 (2–4 سنوات بعد التوقعات).[291][292] ومع ذلك، قد يتأخر النشر أكثر من ذلك.[293]

وقد بدأت شحنات نظام NXE: 3350 في نهاية عام 2015، مع معدل نقل يبلغ 1250 رقاقة / يوم أو 65 رقاقة في الساعة (WPH) بافتراض وقت تشغيل بنسبة 80٪.[294][295] وبالمقارنة، توفر القاعدة المركبة المكونة من 300 وحدة لأنظمة الغمر NXT 193 نانومتر 96٪ و 275 WPH في عام 2015..[296][297]

العام WPH WPH متوقعة التوفر التوفر المتوقع.
2014 55[298] 70[299] 50%[298]
2015 55[300] 75;[298] 125[299] 70%[301] 70%[298]
2016 85[301] 125[299] 80%[301] 80%[298]
2017 125[301] 85%[301]
2018 140[301] 90%[301]

تم شحن عشرين وحدة EUV بين عامي 2010-2016، وهو عدد أقل من العدد المطلوب للاستحداث الكمي. وبالمقارنة، قامت ASML القابضة بشحن أكثر من 60 نظام غمر NXT 193 نانومتر في عام 2016، وتتوقع أن يتم شحن 48 وحدة EUV في عام 2019.[302][303] وقد تم شحن ستة وحدات من NXE:3100 في عامي 2010-2011.[304][305] وقد تم شحن ثمانية وحدات NXE:3300B في الربع الثالث من عام 2013 إلى الربع الأول من عام 2015،[297] fewer than the forecast 11 units.[306]وتم شحن وحدتين NXE: 3350B في أواخر عام 2015،[296] مقارنة بست وحدات متوقعة.[297]تم شحن أربع وحدات في عام 2016، مقارنةً بتوقع ست أو سبع وحدات من بداية العام.[307]

اعتباراً من عام 2016، كان من المتوقع شحن 12 وحدة في عام 2017،[307] و 24 وحدة في عام 2018.[302] ومع ذلك، فقد انخفضت توقعات الشحن لعام 2017 إلى النصف في بداية العام إلى ست أو سبع وحدات.[308]من المقرر إيقاف NXE: 3350B بحلول عام 2017، ليحل محله NXE: 3400B. في وقت شحن أول NXE: 3400B،[309] تم تشغيل ثمانية أنظمة NXE: 3300B وستة NXE: 3350B تعمل في هذا المجال.[310]

تم شحن ما مجموعه عشرة أنظمة NXE3400B في عام 2017.[311]في الربع الأول من عام 2018، تم شحن ثلاثة أنظمة EUV.[312]في الربع الثاني من عام 2018 ، تم شحن 4 أنظمة أخرى.[313]

الاعتراف بمبيعات أداة EUV (تقارير ASML ربع السنوية)

العام Q1 Q2 Q3 Q4
2017 0 3 4 5
2018 1 7 5 5
2019 4 7 7 (incl. 3 NXE:3400C)[314] 8 (incl. 6 NXE:3400C)[314][315][316]
2020 2 7 14 8

ملاحظة: لم يتم الاعتراف بإيرادات أربع أدوات EUV اعتباراً من الربع الثاني من عام 2020.[317]

سيتم شحن خمسة وأربعين (45) نظاماً NXE:3400B في المجموع بحلول نهاية عام 2019.[1] وبالمقارنة، تم شحن 27 أداة غمر إلى سامسونگ في عام 2010 وحده.[318]اعتبارًا من عام 2011، تم استخدام أكثر من 80 أداة غمر في جميع أنحاء العالم لليثوغرافيا 32-45 نانومتر.[319] اعتباراً من الربع الأول من عام 2018، تم تسليم 201 أداة غمر إضافية.[2]كان لدى إنتل حوالي 200 من أدوات SVG Microscan DEV لدعم تصنيع 200 مم[320] وبالتالي، فإن حجم EUV ضئيل مقارنة باستخدام DUV في التبادلات المدروسة.

تتوقع ASML شحن حوالي 35 نظاماً من أنظمة EUV في عام 2020 وما بين 45 و 50 نظاماً من أنظمة EUV في عام 2021.[315]

القضايا التي يتم العمل على تحسينها

تم الإعلان عن طرح NXE: 3400C في عام 2019، بما في ذلك الميزات التي ركزت على تحسين زمن التشغيل بشكل كبير، مثل التصميم المعياري للتغيير السريع، والإمداد المستمر بالقصدير، والتحكم الأفضل في تدهور المجمع.[321] ومع ذلك، لم يتم بعد تنفيذ تحسينات الانحراف، حيث يجب قياس الانحرافات مباشرة في الموقع أولاً.[322]

تخطط ASML لتقديم نظام EUV محسن في أواخر عام 2021.[323] وسيطلق عليه اسم NXE:3600[324] واستناداً إلى خرائط الطريق السابقة، يجب تحسين الإنتاجية إلى أكثر من 185 رقاقة في الساعة وتراكب يبلغ 1.1 نانومتر.

في 14 أكتوبر 2020، ذكرت ASML في بيان صحفي أنه تم الإعلان عن المواصفات النهائية لـ NXE: 3600D.[325]وسيصل النظام الجديد إلى 160 رقاقة في الساعة، ومن المقرر أن يتم الشحن في منتصف عام 2021.

الاستخدام بالنقش المتعدد

تقسيم تخطيط EUV بسبب إضاءات مختلفة. يتكون هذا التصميم من خطوط عمودية وأفقية تتطلب إضاءتين مختلفتين محسنتين لكل منهما. وبالتالي، يجب تقسيمها، حتى بالنسبة لليثوغرافيا فوق البنفسجية. علاوة على ذلك، يتم تحسين المسافات بين أطراف الخطوط (المحاطة بدائرة) بشكل مختلف، لذلك يفضل التعرض للقطع الإضافي.

من المتوقع أن يستخدم EUV نقشاً مزدوجاً عند خطوة تبلغ حوالي 34 nm مع 0.33 NA.[326][327]تعادل هذه الدقة "1Y" DRAM.[328][329]في عام 2020، ذكرت ASML أن طبقة 5nm M0 (30 نانومتر كحد أدنى) تتطلب نقشاً مزدوجاً.[330] في النصف الثاني من عام 2018، أكدت TSMC أن مخططها 5 nm EUV لا يزال يستخدم النقش المضاعف،[331] يشير أيضاً إلى أن إحصاء عدد الأقنعة لم ينخفض من تقاطع 7 nm، التي استخدمت نمط DUV ذو النقش المضاعف بشكل شامل، إلى تقاطع 5 nm، والتي استخدمت EUV واسع النطاق.[332]وأشار بائعو EDA أيضاً إلى استمرار ارتفاع استخدام النقش المتعدد.[333][334] بينما قامت سامسونگ بتقديم طريقتها الخاصة ذات7 nm مع نقش EUV الفردي،[335]حيث واجهت ضجيج طلقات حاد من الفوتونات مما تسبب في خشونة مفرطة للخط، الأمر الذي يتطلب جرعة\كمية أعلى، مما أدى إلى إنتاجية أقل.[268] بينما تستخدم عقد وتقاطعات TSMC ذات 5 nm قواعد تصميم أكثر صرامة.[336] وقد أشارت شركة سامسونگ إلى أن الأبعاد الأصغر سيكون لها ضجيج طلقات أكثر حدة.[268]

في مخطط إنتل لليثوغرافيا التكميلية عند نصف خطوة 20 nm، سيتم استخدام EUV فقط في تعريض ثانٍ لقطع الخط بعد أول تعرض لطباعة خط 193 nm[337]

يمكن توقع التعرضات المتعددة أيضاً حيث يجب أن يستخدم نقشان أو أكثر في نفس الطبقة، على سبيل المثال، خطوات مختلفة أو عروض مختلفة، أشكالًا مختلفة لحدقات المصدر المحسّن.[338][339][340][341] على سبيل المثال، عند الأخذ بعين الاعتبار مصفوفة خط متداخلة تبلغ الخطوة العمودية 64 nm، فإن تغيير الخطوة الأفقية من 64 nm إلى 90 nm يغير الإضاءة المحسّنة بشكل كبير.[50] لا يستلزم تحسين قناع المصدر الذي يعتمد على حواجز شبكية لمسافة الخط والشبكات من طرف إلى طرف تحسينات لجميع أجزاء النقش المنطقي، على سبيل المثال، خندق\ممر كثيف به فجوة في جانب واحد.[342][343]

بالنسبة للخطوة المعدنية 24-36 nm، فقد وجد أن استخدام EUV كعرض قطع (ثاني) له نافذة عملية أوسع بكثير من التعرض الفردي الكامل للطبقة المعدنية.[344][342]

من المتوقع أيضاً أن التعرضات المتعددة لنفس القناع لإدارة الشوائب بدون أغشية رقيقة، تحد من الإنتاجية بشكل مشابه للنقش المضاعف.[262]

SALELE (الحفر النقشي ذاتية المحاذاة).عرض خطة خطوات عملية SALELE، مجتمعة .

إن تقنية الحفر النقشي ذاتية المحاذاة (SALELE) هي تقنية هجينة لـ SADP / LELE التي تم تنفيذها عند 7 نانومتر[345]والاستمرار باستخدامها عند 5 نانومتر.[346] أصبحت تقنية الحفر النقشي ذاتية المحاذاة (SALELE) شكلاً مقبولاً من النقش المزدوج لاستخدامه مع EUV، بدءاً من العقدة 5 نانومتر، حيث تكون بعض الطبقات عبارة عن خطوة ذات 28 نانومتر.[347]

تمديد النقش المفرد: صورة مشوهة ذات فتحة عددية كبير

سيكون من الممكن العودة إلى التوليدات الممتدة من نمط التعريض الفردي باستخدام أدوات الفتحة العددية العالية (NA). قد يتطلب NA البالغ 0.45 إعادة ضبط بنسبة قليلة[348]يمكن أن تؤدي زيادة إزالة التضخم إلى تجنب إعادة الضبط هذه، حيث يؤثر حجم المجال المنخفض بشدة على النقوش الكبيرة (قالب واحد لكل مجال 6 mm × 33 mm) مثل رقائق ترانزستور Xeon متعددة النوى بـ 14 مليارات من النانومتر.[349] من خلال متطلب تجميع المجال.

في عام 2015، كشفت ASML عن تفاصيل الجيل القادم من الماسح الضوئي EUV، مع NA 0.55. يتم زيادة إزالة التضخم من 4x إلى 8x في اتجاه واحد فقط (في مستوى التعرض).[350] ومع ذلك، فإن 0.55 NA لها عمق تركيز أصغر بكثير من اليثوغرافيا الغمرية.[351]أيضاً، تم العثور على أداة بصورة بصرية مشوهة 0.52 NA لإظهار الكثير من CD وتغير الموضع من أجل التعرض الفردي لعقدة 5 nm والقطع ذو النقش المزدوج.[352]

يشكل تقليل عمق التركيز[353] من خلال زيادة NA مصدر قلق[354] خاصة بالمقارنة مع التعرضات متعددة الأنماط باستخدام اليثوغرافيا الغمرية ذات 193 nm:

طول الموجة معامل الانكسار NA DOF (normalized)[353]
193 nm 1.44 1.35 1
13.3–13.7 nm 1 0.33 1.17
13.3–13.7 nm 1 0.55 0.40

من المتوقع ظهور أول أدوات عالية NA بحلول عام 2020 على أقرب تقدير.[355]

ما وراء طول EUV الموجي

سيكون الطول الموجي الأقصر بكثير (~6.7 nm) خارج نطاق الأشعة فوق البنفسجية، وغالباً ما يشار إليه باسم BEUV (ما وراء الأشعة فوق البنفسجية القصوى).[356]سيكون لطول الموجة الأقصر تأثيرات ضجيج الطلقات أسوأ دون ضمان كمية\جرعة كافية.[357]

المراجع

  1. ^ أ ب "ASML Starts NXE:3400C Shipment, But Supply Constraints Loom". October 17, 2019.
  2. ^ أ ب ASML quarterly reports since Q1 2018.
  3. ^ "EUV tool costs hit $120 million". EETimes. November 19, 2010.
  4. ^ "Leading Chipmakers Eye EUV Lithography to Save Moore's Law". IEEE Spectrum. October 31, 2016. "The list price of ASML’s newest EUV machine exceeds €100 million"
  5. ^ "Why EUV Is So Difficult". November 17, 2016.
  6. ^ The Need for Low Pupil Fill in EUV Lithography
  7. ^ أ ب ت EUV faces Scylla and Charybdis
  8. ^ EUV's Uncertain Future
  9. ^ G. Yeap et al., 36.7,IEDM 2019.
  10. ^ O. Adan and K. Houchens, Proc. SPIE 10959, 1095904 (2019).
  11. ^ J. C. Liu et al., IEDM 2020.
  12. ^ S-Y. Wu et al., IEDM 2016.
  13. ^ Samsung 5nm update
  14. ^ https://www.nist.gov/sites/default/files/documents/pml/div683/conference/Rice_2011.pdf
  15. ^ Montcalm, C. (March 10, 1998). Multilayer reflective coatings for extreme-ultraviolet lithography. 
  16. ^ "Next EUV Issue: Mask 3D Effects". April 25, 2018.
  17. ^ Krome, Thorsten; Schmidt, Jonas; Nesládek, Pavel (2018). "EUV capping layer integrity". In Takehisa, Kiwamu (ed.). Photomask Japan 2018: XXV Symposium on Photomask and Next-Generation Lithography Mask Technology. p. 8. doi:10.1117/12.2324670. ISBN 9781510622012. S2CID 139227547.
  18. ^ https://patents.google.com/patent/US20030008180
  19. ^ "AGC to Further Expand its Supply System for EUVL Mask Blanks | News | AGC". AGC.
  20. ^ "Semiconductor Engineering - EUV Mask Blank Battle Brewing". November 15, 2018.
  21. ^ "ULTRA Semiconductor Laser Mask Writer | Heidelberg Instruments". www.himt.de.
  22. ^ "MeRiT® neXT". www.zeiss.com.
  23. ^ "Centura® Tetra™ EUV Advanced Reticle Etch | Applied Materials". www.appliedmaterials.com.
  24. ^ "EUV collector cleaning" (PDF).
  25. ^ H. Komori et al., Proc. SPIE 5374, pp. 839–846 (2004).
  26. ^ B. A. M. Hansson et al., Proc. SPIE 4688, pp. 102–109 (2002).
  27. ^ S. N. Srivastava et al., J. Appl. Phys.' 102, 023301 (2007).
  28. ^ https://www.laserfocusworld.com/optics/article/16566714/optics-for-scanning-multilayer-mirrors-enable-nextgeneration-euv-lithography
  29. ^ "H. S. Kim, Future of Memory Devices and EUV Lithography, 2009 EUV Symposium" (PDF). Archived from the original (PDF) on 2015-07-10. Retrieved 2012-10-25.
  30. ^ H. Mizoguchi, "Laser Produced Plasma EUV Light Source Gigaphoton Update," EUVL Source Workshop, May 12, 2008.
  31. ^ "Cookies op ed.nl | ed.nl".
  32. ^ "Gigaphoton" (PDF).
  33. ^ "Cymer SPIE 2018" (PDF).
  34. ^ "Zeiss 2018 EUVL Workshop update" (PDF).
  35. ^ "SPIE 2007 paper" (PDF). Archived from the original (PDF) on 2017-08-12. Retrieved 2018-07-28.
  36. ^ "ASML, 2016 EUVL Workshop, p.14" (PDF).
  37. ^ Y. Wang and Y. Liu, Proc. SPIE 9283, 928314 (2014).
  38. ^ أ ب ت "R. Capelli et al., Proc. SPIE 9231, 923109 (2014)" (PDF).
  39. ^ "M. van den Brink et al., Proc. SPIE 2726 (1996)" (PDF). Archived from the original (PDF) on 2017-08-09. Retrieved 2018-07-17.
  40. ^ Schmoeller, Thomas; Klimpel, T; Kim, I; F. Lorusso, G; Myers, A; Jonckheere, Rik; Goethals, Anne-Marie; Ronse, K (14 March 2008). "EUV pattern shift compensation strategies - art. no. 69211B". Proceedings of SPIE. 6921. doi:10.1117/12.772640. S2CID 121926142 – via ResearchGate.
  41. ^ A. N. Broers, IEEE Trans. Elec. Dev. 28, 1268 (1981).
  42. ^ Tao, Y.; et al. (2005). "Characterization of density profile of laser-produced Sn plasma for 13.5 nm extreme ultraviolet source". Appl. Phys. Lett. 86 (20): 201501. Bibcode:2005ApPhL..86t1501T. doi:10.1063/1.1931825.
  43. ^ "Abstract 107 Last Page". www.nifs.ac.jp.
  44. ^ I. Fomenkov et al., Adv. Opt. Tech. 6, 173 (2017).
  45. ^ I. V. Fomenkov, Proc. SPIE 10957, 1095719 (2019).
  46. ^ أ ب "Rutgers University Department of Physics and المعاد تركيبه Astronomy" (PDF). www.physics.rutgers.edu. Archived from the original (PDF) on 2016-12-20. Retrieved 2016-12-14.
  47. ^ أ ب ت "Metal outgassing study at EIDEC" (PDF).
  48. ^ R. Rokitski et al., Proc. SPIE 7640, 76401Q (2010).
  49. ^ أ ب M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017).
  50. ^ أ ب Y. Chen et al., J.Vac. Sci. Tech. B35, 06G601 (2017).
  51. ^ أ ب ت ث ج "H. Mizoguchi et al., 2017 EUV-FEL Workshop, p. 4" (PDF).
  52. ^ Paetzel, R.; et al. (2003). Yen, Anthony (ed.). "Excimer lasers for superhigh NA 193-nm lithography". Proc. SPIE. Optical Microlithography XVI. 5040: 1665. Bibcode:2003SPIE.5040.1665P. doi:10.1117/12.485344. S2CID 18953813.
  53. ^ Harilal, S. S.; et al. (2006). "Spectral control of emissions from tin doped targets for extreme ultraviolet lithography". J. Phys. D. 39 (3): 484–487. Bibcode:2006JPhD...39..484H. doi:10.1088/0022-3727/39/3/010. S2CID 34621555.
  54. ^ T. Asayama et al., Proc. SPIE vol. 8683, 86831G (2013).
  55. ^ "ASML update Nov. 2013, Dublin" (PDF).
  56. ^ أ ب The Stochastic Variation of EUV Source Illumination
  57. ^ أ ب Application-Specific Lithography: a 28 nm Pitch DRAM Active Area
  58. ^ أ ب P. De Bisschop, “Stochastic effects in EUV lithography: random, local CD variability, and printing failures”, J. Micro/Nanolith. MEMS MOEMS 16(4), 041013 (2017).
  59. ^ أ ب ت ث ج P. De Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  60. ^ CD-pitch combinations disfavored by EUV stochastics
  61. ^ The Stochastic Impact of Defocus in EUV Lithography
  62. ^ The Stochastic Impact of Defocus in EUV Lithography
  63. ^ أ ب ت A. Narasimhan et al., Proc. SPIE 9422, 942208 (2015).
  64. ^ Fukuda, Hiroshi (February 23, 2019). "Localized and cascading secondary electron generation as causes of stochastic defects in extreme ultraviolet projection lithography". Journal of Micro/Nanolithography, MEMS, and MOEMS. 18 (1): 013503. Bibcode:2019JMM&M..18a3503F. doi:10.1117/1.JMM.18.1.013503.
  65. ^ L. Meli et al., J. Micro/Nanolith. MEMS MOEMS 18, 011006 (2019).
  66. ^ أ ب N. Felix et al., Proc. SPIE 9776, 97761O (2015).
  67. ^ "S. Bhattarai, PhD Thesis,"Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography," U. Calif. Berkeley, 2017" (PDF).
  68. ^ S. Larivière et al., Proc. SPIE 10583, 105830U (2018).
  69. ^ J. Kim et al., Proc. SPIE 11328, 113280I (2020).
  70. ^ "From Shot Noise to Stochastic Defects: Dose-Dependent Gaussian Blur in Lithography". www.linkedin.com.
  71. ^ أ ب Y. Chen et al., Proc. SPIE 10143, 101431S (2017).
  72. ^ J. Ruoff, Proc. SPIE 7823, 78231N (2010).
  73. ^ George, Simi A.; Nauleau, Patrick; Rekawa, Senajith; Gullikson, Eric; Kemp, Charles D. (February 23, 2009). Schellenberg, Frank M; La Fontaine, Bruno M (eds.). "Out-of-band exposure characterization with the SEMATECH Berkeley 0.3-NA microfield exposure tool". Journal of Micronano Lithography, MEMS and MOEMS. Alternative Lithographic Technologies. 7271: 72710X. Bibcode:2009SPIE.7271E..0XG. doi:10.1117/12.814429. OSTI 960237. S2CID 55241073.
  74. ^ أ ب ت ث "Measurement and characterization of EUV mask performance at high-NA | EECS at UC Berkeley". www2.eecs.berkeley.edu.
  75. ^ "Carl Zeiss GMbH, Semicon Europa, Nov. 16 2018" (PDF).
  76. ^ أ ب "Multilayer Reflectivity". henke.lbl.gov.
  77. ^ Y. Nakajima et al., Proc. SPIE 7379, 73790P (2009).
  78. ^ أ ب N. Davydova et al., Proc. SPIE 8166, 816624 (2011).
  79. ^ G. J. Stagaman et al., Proc. SPIE 2726, 146 (1996).
  80. ^ M.F. Ravet et al., Proc. SPIE 5250, 99 (2004).
  81. ^ F. Scholze et al., Proc. SPIE 6151, 615137 (2006).
  82. ^ Yakshin, A. E.; Kozhevnikov, I. V.; Zoethout, E.; Louis, E.; Bijkerk, F. (2010). "[PDF] Properties of broadband depth-graded multilayer mirrors for EUV optical systems. | Semantic Scholar". Optics Express. 18 (7): 6957–71. doi:10.1364/OE.18.006957. PMID 20389715. S2CID 16163302.
  83. ^ Chromatic Blur in EUV Lithography
  84. ^ "The Very Different Wavelengths of EUV Lithography". www.linkedin.com.
  85. ^ M. Sugawara et al., J. Micro/Nanolith. MEMS MOEMS 2, 27-33 (2003).
  86. ^ https://www.linkedin.com/pulse/uncertain-phase-shifts-euv-masks-frederick-chen
  87. ^ M. Burkhardt et al., Proc. SPIE 10957, 1095710 (2019).
  88. ^ A. Erdmann, P. Evanschitzky, T. Fuhrer, Proc. SPIE 7271, 72711E (2009).
  89. ^ L. Peters, "Double Patterning Leads Race for 32 nm", Semiconductor International, October 18, 2007.
  90. ^ M. Sugawara et al., J. Vac. Sci. Tech. B 21, 2701 (2003).
  91. ^ أ ب ت "Proceedings" (PDF). doi:10.1117/12.484986. S2CID 137035695. {{cite journal}}: Cite journal requires |journal= (help)
  92. ^ G. McIntyre et al., Proc. SPIE vol. 7271, 72711C (2009).
  93. ^ T. Last et al., Proc. SPIE 9985, 99850W (2016).
  94. ^ أ ب T. Last et al., Proc. SPIE vol. 10143, 1014311 (2017).
  95. ^ W. Gao et al., Proc. SPIE vol. 10143, 101430I (2017).
  96. ^ "EUV Mask Flatness Requirements" (PDF). Archived from the original (PDF) on 2015-06-26. Retrieved 2015-06-26.
  97. ^ T. Schmoeller et al., Proc. SPIE vol. 6921, 69211B (2008).
  98. ^ P. Liu et al., Proc. SPIE vol. 8679, 86790W (2013).
  99. ^ أ ب M. Sugawara et al., Proc. SPIE 9048, 90480V (2014).
  100. ^ أ ب X. Chen et al., Proc. SPIE 10143, 101431F (2017).
  101. ^ "ASML: Products - TWINSCAN NXE:3400B". asml.com.
  102. ^ أ ب X. Liu et al., Proc. SPIE vol. 9048, 90480Q (2014).
  103. ^ O. Wood et al., Proc. SPIE 10450, 1045008 (2017).
  104. ^ S. Yoshitake et al., EUV Mask Flatness Requirements: E-beam Mask Writer Supplier Perspective.
  105. ^ H. N. Chapman and K. A. Nugent, Proc. SPIE 3767, 225 (1999).
  106. ^ H. Komatsuda, Proc. SPIE 3997, 765 (2000).
  107. ^ Q. Mei et al., Proc. SPIE 8679, 867923 (2013).
  108. ^ D. Hellweg et al., Proc. SPIE 7969, 79690H (2011).
  109. ^ K. Hooker et al., Proc. SPIE 10446, 1044604 (2017).
  110. ^ A. Garetto et al., J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).
  111. ^ أ ب T-S. Eom et al., Proc. SPIE 8679, 86791J (2013).
  112. ^ R. Capelli et al., Proc. SPIE 10957, 109570X (2019).
  113. ^ J. Fu et al., Proc. SPIE 11323, 113232H (2020).
  114. ^ Preparing for the Next Generation of EUV Lithography at the Center for X-ray Optics
  115. ^ S. Koo et al., Proc. SPIE 7969, 79691N (2011).
  116. ^ US Patent Application 20070030948.
  117. ^ M. F. Bal et al., Appl. Opt. 42, 2301 (2003).
  118. ^ D. M. Williamson, Proc. SPIE 3482, 369 (1998).
  119. ^ أ ب "Carl Zeiss 2018" (PDF).
  120. ^ أ ب M. Lim et al., Proc. SPIE 10583, 105830X (2018).
  121. ^ A. V. Pret et al., Proc. SPIE 10809, 108090A (2018).
  122. ^ L. van Look et al., Proc. SPIE 10809, 108090M (2018)
  123. ^ R-H. Kim et al., Proc. SPIE 9776, 97761R (2016).
  124. ^ أ ب ت ث ج E. van Setten et al., Proc. SPIE 9661, 96610G (2015).
  125. ^ T. E. Brist and G. E. Bailey, Proc. SPIE 5042, 153 (2003).
  126. ^ G. Zhang et al., Proc. SPIE 5040, 45 (2003).
  127. ^ J. Fu et al., Proc. SPIE 11323, 113232H (2020).
  128. ^ K. Lee et al., J. Microlith/Nanolith. MEMS MOEMS 18, 040501 (2019).
  129. ^ K. A. Goldberg et al., Proc. SPIE 5900, 59000G (2005).
  130. ^ Y. Liu and Y. Li, Opt. Eng. 55, 095108 (2016).
  131. ^ أ ب R., Saathof (1 December 2018). "Adaptive Optics to Counteract Thermal Aberrations: System Design for EUV-Lithography with Sub-nm Precision". {{cite journal}}: Cite journal requires |journal= (help)
  132. ^ T. S. Jota and R. A. Chipman, Proc. SPIE 9776, 977617 (2016).
  133. ^ أ ب ت "Mentor Graphics Director Details Challenges for Edge Placement Control in 2020". nikonereview.com.
  134. ^ M. Habets et al., Proc. SPIE 9776, 97762D (2016).
  135. ^ M. Bayraktar et al., Opt. Exp. 22, 30623 (2014).
  136. ^ J. Heo et al., Opt. Exp. 25, 4621 (2017).[dead link]
  137. ^ C. S. Choi et al., Proc. SPIE 9235, 92351R (2014).
  138. ^ Fundamental Principles of Optical Lithography Chris A. Mack, p. 37.
  139. ^ C. A. Mack, Microlith. World, 9-4, 25 (2000)
  140. ^ J. S. Petersen et al., Proc. SPIE 3546, 288 (1998).
  141. ^ "Archived copy" (PDF). Archived from the original (PDF) on 2017-02-05. Retrieved 2017-02-05.{{cite web}}: CS1 maint: archived copy as title (link)
  142. ^ Thin Half-tone Phase Shift Mask Stack for Extreme Ultraviolet Lithography Inhwan Lee, Sangsul Lee, Jae Uk Lee, Chang Young Jeong2, Sunyoung Koo, Changmoon Lim, and Jinho Ahn
  143. ^ L. Yuan et al., Proc. SPIE 8322, 832229 (2012).
  144. ^ "Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography | EECS at UC Berkeley".
  145. ^ Anderson, Christopher; Daggett, Joe; Naulleau, Patrick (31 December 2009). Corner rounding in EUV photoresist: tuning through molecular weight, PAG size, and development time. 
  146. ^ أ ب ت ث E. van Setten et al., Intl. Symp. on EUV Lithography, 2014.
  147. ^ V. M. Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017).
  148. ^ أ ب ت E. van Setten et al., Proc. SPIE 9231, 923108 (2014).
  149. ^ K. van Ingen Schenau, 2013 EUVL Symposium.
  150. ^ "SemiWiki.com - SEMICON West - Advanced Interconnect Challenges". www.semiwiki.com.
  151. ^ "SemiWiki.com - Exclusive - GLOBALFOUNDRIES discloses 7nm process detail". www.semiwiki.com.
  152. ^ L. T. Clark et al., Microelec. Journ. 53, 105 (2016).
  153. ^ "ASML 2014 Investor Day slide 46" (PDF). Archived from the original (PDF) on 2017-08-30. Retrieved 2017-07-29.
  154. ^ F. Jiang et al., Proc. SPIE vol. 9422, 94220U (2015).
  155. ^ أ ب ت ث I. Mochi et al., Proc. SPIE 9776, 97761S (2015).
  156. ^ J. G. Garofalo et al., Proc. SPIE 2440, 302 (1995).
  157. ^ "Understanding EUV Shot Noise".
  158. ^ D. Civay et al., Proc. SPIE 9048, 90483D (2014).
  159. ^ T. Last et al., J. Micro/Nanolith. MEMS MOEMS 15, 043508 (2016).
  160. ^ "Stochastic Printing of Sub-Resolution Assist Features". www.linkedin.com.
  161. ^ A-Y. Je et al., Proc. SPIE 7823, 78230Z (2010).
  162. ^ T. Huynh-Bao et al., Proc. SPIE 9781, 978102 (2016).
  163. ^ V. Philipsen et al., Proc. SPIE 9235, 92350J (2014).
  164. ^ أ ب W. Gillijns et al., Proc. SPIE 10143, 1014314 (2017).
  165. ^ أ ب Y-G Wang et al., Proc. SPIE 10143, 1014320 (2017).
  166. ^ US Patent 9715170.
  167. ^ S. Nagahara et al., Proc. SPIE 7640, 76401H (2010).
  168. ^ L. Pang et al., Proc. SPIE 7520, 75200X (2009).
  169. ^ Hsu, Stephen D.; Liu, Jingjing (1 January 2017). "Challenges of anamorphic high-NA lithography and mask making". Advanced Optical Technologies. 6 (3–4): 293. Bibcode:2017AdOT....6..293H. doi:10.1515/aot-2017-0024. S2CID 67056068.
  170. ^ "Semicon/Japan 99" (PDF). Archived from the original (PDF) on 2017-08-05. Retrieved 2017-06-29.
  171. ^ Image formation. Number of diffracted orders. (Spatial Fourier components) 2002 November 20
  172. ^ "IMEC EUVL 2018 Workshop" (PDF).
  173. ^ C. Krautschik et al., Proc. SPIE 4343, 392 (2001).
  174. ^ A. Erdmann, P. Evanschitzky, and T. Fuhner, Proc. SPIE 7271, 72711E (2009).
  175. ^ A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS 15, 021205 (2016).
  176. ^ M. Burkhardt and A. Raghunathan, Proc. SPIE 9422, 94220X (2015).
  177. ^ Z. Zhu et al., Proc. SPIE 5037, 494 (2003)
  178. ^ V. Philipsen et al., Proc. SPIE 10143, 1014310 (2017).
  179. ^ "Investigation of the Current Resolution Limits of Advanced Extreme Ultraviolet (EUV) Resists". CiteSeerX 10.1.1.215.7131. {{cite journal}}: Cite journal requires |journal= (help)
  180. ^ A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS 15(2), 021205 (2016).
  181. ^ أ ب ت ث ج L. Liebmann et al. Proc. SPIE 10148, 101480F (2017).
  182. ^ V. Phiipsen et al., Proc. SPIE 10143, 104310(2017).
  183. ^ C-H. Chang et al., Proc. SPIE 5377, 902 (2004).
  184. ^ T. Devoivre et al., MTDT 2002.
  185. ^ L. C. Choo et al., Proc. SPIE vol. 4000, 1193 (2000).
  186. ^ J. Word and K. Sakajiri, Proc. SPIE 6156, 61561I (2006).
  187. ^ T. Winkler et al., Prod. SPIE 5754, 1169 (2004).
  188. ^ Y. Borodovsky et al., Proc. SPIE 4754, 1 (2002).
  189. ^ S-S. Yu et al., Proc. SPIE 8679, 86791L (2013).
  190. ^ أ ب A. Erdmann et al., Proc. SPIE 10583, 1058312 (2018).
  191. ^ "Eigenmode analysis of EM fields in EUV masks" (PDF).
  192. ^ "Ultra-high efficiency EUV etched phase-shift mask" (PDF).
  193. ^ ". Torok et al., "Secondary Electrons in EUV Lithography", J. Photopol. Sci. and Tech., 26, 625 (2013)".
  194. ^ K. Ishii and T. Matsuda, Jpn. J. Appl. Phys. 29, 2212 (1990).
  195. ^ A. Thete et al., Proc. SPIE 9422, 94220A (2015).
  196. ^ "B. Sun thesis, p. 34" (PDF).
  197. ^ "S. Bhattarai, Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography, 2017, p. 100" (PDF). Archived from the original (PDF) on 2017-10-21. Retrieved 2018-09-16.
  198. ^ "O. Kostko, J. Ma and P. Naulleau (LBNL), "Measurement of electron blur," 2019 EUVL Workshop" (PDF).
  199. ^ B. L. Henke et al., J. Appl. Phys. 48, pp. 1852–1866 (1977).
  200. ^ "CNSE 2013 International Workshop on EUV Lithography" (PDF).
  201. ^ J. Torok et al., J. Photopolymer Sci. & Tech., 27, 611 (2014).
  202. ^ Low Energy Electron Set the Limits for EUV Lithography
  203. ^ أ ب Y. Kandel et al., Proc. SPIE 10143, 101430B (2017).
  204. ^ D. D. Simone et al., Proc. SPIE 10143, 101430R (2017).
  205. ^ أ ب P. De Schepper et al., Proc. SPIE 9425, 942507 (2015).
  206. ^ A. Narasimhan et al., "Mechanisms of EUV Exposure: Internal Excitation and Electron Blur", EUV Symposium 6/16/2016, p.11.
  207. ^ A. Raghunathan and J. G. Hartley, JVST B 31, 011605 (2013).
  208. ^ "EUV's Stochastic Valley of Death". www.linkedin.com.
  209. ^ أ ب ت A. Thete et al., Phys. Rev. Lett. 266803 (2017).
  210. ^ L. Wisehart et al., Proc. SPIE 9776, 97762O (2016).
  211. ^ "Electric Field, Flat Sheets of Charge". hyperphysics.phy-astr.gsu.edu.
  212. ^ M. Dapor, M. Ciappa, and W. Fichtner, J. Micro/Nanolith. MEMS MOEMS 9, 023001 (2010).
  213. ^ أ ب Z. G. Song et al., J. Phys. D: Appl. Phys. 30, 1561 (1997).
  214. ^ J. M. Roberts et al., Proc. SPIE 7273, 72731W (2009).
  215. ^ G. Denbeaux et al., 2007 European Mask and Lithography Conference.
  216. ^ I. Pollentier et al., Proc. SPIE vol. 7972, 797208 (2011).
  217. ^ G. Denbeaux, 2009 Intl. Workshop on EUV Lithography.
  218. ^ J. Y. Park et al., J. Vac. Sci. Tech. B29, 041602 (2011).
  219. ^ J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 118–130 (2006).
  220. ^ أ ب ت ث ج "H atom based tin cleaning" (PDF).
  221. ^ Computer modeling of contamination and cleaning of EUV source optics RnD-ISAN/EUV Labs & ISTEQ BV
  222. ^ أ ب T. Van de Ven et al., J. Appl. Phys. 123, 063301 (2018).
  223. ^ e.g., The Denitridation of Nitrides Under Hydrogen
  224. ^ "C. G. van de Walle and B. Tuttle, THEORY OF HYDROGEN INTERACTIONS WITH AMORPHOUS SILICON in Amorphous and Heterogeneous Silicon Thin Films — Fundamentals to Devices, edited by H. M. Branz, R. W. Collins, H. Okamoto, S. Guha, and B. Schropp, MRS Symposia Proceedings, Vol. 557 (MRS, Pittsburgh, Pennsylvania, 1999), p. 255" (PDF).
  225. ^ T. Tanabe, Y. Yamanishi, and S. Imoto, J. Nucl. Mat. 191-194, 439 (1992).
  226. ^ أ ب "D. T. Elg et al., J. Vac. Sci. Tech. A 34, 021305 (2016)" (PDF).
  227. ^ "Hydrogen-induced blistering in thin film multilayers" (PDF).
  228. ^ I-Y. Jang et al., Proc. SPIE 9256, 92560I (2014)
  229. ^ "Hydrogen penetration of Ru and Pd/Ru" (PDF).
  230. ^ Pantisano, L; Schram, Tom; Li, Z; Lisoni, Judit; Pourtois, Geoffrey; De Gendt, Stefan; P. Brunco, D; Akheyar, A; Afanas'ev, V.V.; Shamuilia, Sheron; Stesmans, A (12 June 2006). "Ruthenium gate electrodes on SiO2 and HfO2: Sensitivity to hydrogen and oxygen ambients". Applied Physics Letters. 88 (24): 243514. Bibcode:2006ApPhL..88x3514P. doi:10.1063/1.2212288 – via ResearchGate.
  231. ^ "Hydrogen penetration of boron carbide".
  232. ^ M. Mayer, M. Balden, and R. Behrisch, J. Nucl. Mat. 252, 55 (1998).
  233. ^ أ ب S-S. Kim et al., Proc. SPIE 10143, 1014306 (2017).
  234. ^ "Screening of oxidation-resistance capping layers" (PDF).
  235. ^ B. Thedjoisworo et al., J. Vac. Sci. Tech. A 30, 031303 (2012).
  236. ^ "Hydrogen plasma for photoresist stripping" (PDF).
  237. ^ "Metal Oxide Resist Outgassing" (PDF).
  238. ^ Thedjoisworo, Bayu; Cheung, David; Crist, Vince (2013). "Comparison of the effects of downstream H2- and O2-based plasmas on the removal of photoresist, silicon, and silicon nitride". Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 31 (2): 021206. Bibcode:2013JVSTB..31b1206T. doi:10.1116/1.4792254. ISSN 2166-2746.[بحاجة لمصدر غير رئيسي]
  239. ^ "Getting up to speed with roadmap requirements for extreme-UV lithography". spie.org.
  240. ^ "Fast Simulation Methods for Non-Planar Phase and Multilayer Defects in DUV and EUV Photomasks for Lithography". berkeley.edu.
  241. ^ H. Yu et al., J. Vac. Sci. Tech. A31, 021403 (2013).
  242. ^ S. Huh et al., Proc. SPIE 7271 (2009).
  243. ^ K. Seki et al., Proc. SPIE 9658, 96580G (2015).
  244. ^ A. Garetto et al., J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).
  245. ^ M. Muller et al., Appl. Phys. A vol. 108, 263 (2012).
  246. ^ "2016 EUV Mask Pellicle TWG update" (PDF).
  247. ^ "EUVL activities in South Korea (including Samsung and SKHynix)" (PDF).
  248. ^ I-S. Kim et al., Proc. SPIE vol. 8322, 83222X (2012).
  249. ^ C. Zoldeski et al., Proc. SPIE vol. 9048, 90481N (2014).
  250. ^ D. L. Goldfarb, Dec. 2015 BACUS Newsletter.
  251. ^ "EUV Pellicle, Uptime And Resist Issues Continue".
  252. ^ A. Gao et al., J. Appl. Phys. 114, 044313 (2013).
  253. ^ E. Gallagher et al., Proc. SPIE vol. 9635, 96350X (2015).
  254. ^ C. Ghica et al., Rom. Rep. in Phys., vol. 62, 329-340 (2010).
  255. ^ L. Juan et al., Chin. Phys. B, vol., 22, 105101 (2013).
  256. ^ I. Pollentier et al., Proc. SPIE vol. 10143, 101430L (2017).
  257. ^ H. Oizumi et al., Proc. SPIE vol. 5751, 1147 (2005).
  258. ^ K. Motai et al., Proc. SPIE vol. 6517, 65170F (2007).
  259. ^ Y. Nagaoka and J. Miyazaki, Proc. SPIE vol. 9635, 963510 (2015).
  260. ^ I-S. Kim et al., Microel. Eng. 177, 35 (2017).
  261. ^ "UBS Korea Conference, June 24 2019" (PDF).
  262. ^ أ ب H. J. Levinson and T. A. Brunner, Proc. SPIE 10809, 1080903 (2018).
  263. ^ "5nm Fab Challenges". 2016-01-20. ASML is developing an anamorphic lens for EUV. The two-axis EUV lens would support 8x magnification in the scan mode and 4x in the other direction. It would support 0.5 to 0.6 NAs. … The EUV scanner could take a throughput hit. It would expose the wafer at only half the field size, as opposed to full field sizes with today's EUV scanners.
  264. ^ Hilbert Hagedoorn. "GeForce GTX 780 review". Guru3D.com.
  265. ^ Intel Xeon E5-2600 v3
  266. ^ J. T. Neumann et al., Proc. SPIE vol. 8522, 852211 (2012).
  267. ^ K. Takehisa, Proc. SPIE vol. 8701, 87010T (2013).
  268. ^ أ ب ت H-W Kim et al., Proc. SPIE 7636, 76360Q (2010).
  269. ^ S-M. Kim et al., Proc. SPIE 9422, 94220M (2015).
  270. ^ B. Baylav, "Reduction of Line Edge Roughness (LER) in Interference-Like Large Field Lithography", PhD dissertation, p. 37, 2014.
  271. ^ Z-Y. Pan et al., Proc. SPIE 6924, 69241K (2008).
  272. ^ R. L. Bristol and M. E. Krysak, Proc. SPIE 10143, 101430Z (2017).
  273. ^ "2013 Nissan Chemical Industries, 2013 International Workshop on EUV Lithography" (PDF).
  274. ^ T. G. Oyama et al., Appl. Phys. Exp. 7, 036501 (2014).
  275. ^ T. Kozawa, Jpn. J. Appl. Phys. 51, 06FC01 (2012).
  276. ^ "Photoresist ABCs" (PDF).
  277. ^ "ASML: Products - TWINSCAN NXT:1980Di". www.asml.com.
  278. ^ أ ب "EUV Photoresists" (PDF).
  279. ^ أ ب "ASML 2016 EUVL Workshop" (PDF).
  280. ^ "New solutions for resist" (PDF). Archived from the original (PDF) on 2017-08-27. Retrieved 2017-07-14.
  281. ^ "Metal oxide resist strip" (PDF).[dead link]
  282. ^ J-H. Franke et al., Proc. SPIE 11517, 1151716 (2020).
  283. ^ 2016 EUV source workshop, ASML update.
  284. ^ Tartwijk, Stu Woo and Maarten van. "Can This Little-Known Chip Company Preserve Moore's Law?".
  285. ^ V. M. Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017).
  286. ^ أ ب http://www.lithoguru.com/scientist/essays/100WbytheEndoftheYear.ppsx
  287. ^ "Archived copy". Archived from the original on 2016-07-24. Retrieved 2016-08-01.{{cite web}}: CS1 maint: archived copy as title (link)
  288. ^ tweet_btn(), Rik Myslewski 25 Feb 2014 at 22:16. "First 'production-ready' EUV scanner laser-fries its guts at TSMC. Intel seeks alternative tech". www.theregister.co.uk.{{cite web}}: CS1 maint: numeric names: authors list (link)
  289. ^ "Archived copy". Archived from the original on 2016-08-18. Retrieved 2016-08-01.{{cite web}}: CS1 maint: archived copy as title (link)
  290. ^ "TSMC orders production EUV systems". optics.org.
  291. ^ "ASML boosted by extreme UV orders". optics.org.
  292. ^ "EUV | Semiconductor Manufacturing & Design Community". semimd.com.
  293. ^ [1]
  294. ^ "ASML Has Record Revenue for 2015; Will Raise Dividend, Buy Back More Stock | Semiconductor Manufacturing & Design Community".
  295. ^ Tiernan Ray. "ASML Gets a Lift From TSM, Though Questions on EUV Remain". Barrons.
  296. ^ أ ب "asml20160120presentation". www.sec.gov.
  297. ^ أ ب ت "asml20150715presentation". www.sec.gov.
  298. ^ أ ب ت ث ج Frits van Hout (November 24, 2014). "EUV". ASML. Retrieved December 14, 2016.
  299. ^ أ ب ت ASML. "ASML reports 2013 results". US Securities and Exchange Commission. Retrieved 2014-07-16. We remain on target to deliver EUV systems with a throughput of 70 wafers per hour in 2014, upgradeable to 125 wafers per hour in 2015.
  300. ^ "asml20151014presentation". www.sec.gov.
  301. ^ أ ب ت ث ج ح خ Hans Meiling (October 31, 2016). "Role of EUV and its Business Opportunity" (PDF). ASML. Archived from the original (PDF) on December 20, 2016. Retrieved December 15, 2016.
  302. ^ أ ب "ASML firms up EUV lithography expectations". October 19, 2016.
  303. ^ "Asml20170118presentation".
  304. ^ R. Peeters et al., Proc. SPIE 8679, 86791F (2013).
  305. ^ "Holistic lithography for EUV: NXE:3100 characterization of first printed wafers using an advanced scanner model and scatterometry" (PDF). Archived from the original (PDF) on 2015-07-16. Retrieved 2015-07-16.
  306. ^ "ASML delays EUV tool revenue recognition". 2012-04-18.
  307. ^ أ ب "ASML confident of 2016 EUV goals; Dutch lithography giant expects to ship at least six systems as customers target 2018 production ramp". 2016-01-20.
  308. ^ "ASML Holding's (ASML) CEO Peter Wennink on Q4 2016 Results - Earnings Call Transcript". 2017-01-18.
  309. ^ Merritt, Rick. "ASML Revs EUV Engines". EETimes.
  310. ^ Merritt, Rick. "EUV Progress, Hurdles Cited". EETimes.
  311. ^ "2018 SPIE Advanced Lithography – EUVL Conference Update - EUVL Focus". electroiq.com. Archived from the original on 2019-01-26. Retrieved 2018-04-16.
  312. ^ "ASML: Press - Press ReleasesStrong DUV Demand Drives Solid Q1 Results and Confirms Positive Outlook for 2018 - Multiple EUV Orders, Including High-NA, Demonstrate Further Adoption of EUV Technology - Press ReleasesStrong DUV Demand Drives Solid Q1 Results and Confirms Positive Outlook for 2018 - Multiple EUV Orders, Including High-NA, Demonstrate Further Adoption of EUV Technology". www.asml.com.
  313. ^ "ASML: Press - Press ReleasesEarnings Growth Continues, Driven by Strong Sales Across Full Product Portfolio - Continued EUV Progress Enables ASML Roadmap Acceleration - Press ReleasesEarnings Growth Continues, Driven by Strong Sales Across Full Product Portfolio - Continued EUV Progress Enables ASML Roadmap Acceleration". www.asml.com.
  314. ^ أ ب ASML Q3 2019 Earnings Call
  315. ^ أ ب "Q4 2019 and full year financial results". www.asml.com (in الإنجليزية). Retrieved 2020-01-22.
  316. ^ ASML Q4 2019 Earnings Call Transcript
  317. ^ ASMl Q2 2020
  318. ^ "ASML, Nikon battle over Intel litho biz". .. {{cite web}}: Check |url= value (help)
  319. ^ "ASML enhances NXT:1950i immersion lithography platform". www.fabtech.org.
  320. ^ Images, Intel Special Edition, ASML, 2006.
  321. ^ "De 3400C is de euv-machine die ASML altijd heeft willen bouwen – Bits&Chips". bits-chips.nl.
  322. ^ M. van de Kerkhof et al., Proc. SPIE 10583, 105830S (2018).
  323. ^ De Young, Craig (March 20, 2019). "BAML 2019 APAC TMT Conference" (PDF). ASML.
  324. ^ "ASML hiring Architect Extreme Ultraviolet Projection in Veldhoven, NL | LinkedIn". nl.linkedin.com (in الإنجليزية). Retrieved 2019-12-24.
  325. ^ "Q3 2020 financial results". www.asml.com (in الإنجليزية). Retrieved 2021-01-21.
  326. ^ W. Gap et al., Proc. SPIE 10583, 105830O (2018).
  327. ^ D. De Simone et al., Advanced Lithography 2019, 10957-21.
  328. ^ "Samsung 18 nm DRAM cell integration: QPT and higher uniformed capacitor high-k dielectrics". techinsights.com.
  329. ^ Roos, Gina (May 24, 2018). "Prices for DRAMs Continue to Climb while NAND Flash ASPs Drop".
  330. ^ R. Socha, Proc. SPIE 11328, 113280V (2020).
  331. ^ "SemiWiki.com - Top 10 Highlights from the TSMC Open Innovation Platform Ecosystem Forum". www.semiwiki.com.
  332. ^ "DAC 2018 TSMC/Arm/Synopsys Breakfast". www.synopsys.com.
  333. ^ "Cadence Achieves EDA Certification for TSMC 5nm and 7nm+ FinFET Process Technologies to Facilitate Mobile and HPC Design Creation". October 2018.
  334. ^ "Synopsys Digital and Custom Design Platforms Certified on TSMC 5-nm EUV-based Process Technology". Design And Reuse.
  335. ^ "DAC 2018 Samsung/Synopsys Breakfast". www.synopsys.com.
  336. ^ Merritt, Rick. "TSMC Goes Photon to Cloud". EETimes.
  337. ^ "Intel presentation on Complementary Lithography at 2012 International Workshop on EUV Lithography" (PDF).
  338. ^ "EUV was never going to be single patterning".
  339. ^ S. Hsu et al., Proc. SPIE 4691, 476 (2002).
  340. ^ X. Liu et al., Proc. SPIE 9048, 90480Q (2014).
  341. ^ S-Y. Oh et al., Proc. SPIE 4691, 1537 (2002).
  342. ^ أ ب "EUV was never going to be single patterning". www.semiwiki.com.
  343. ^ D. Rio et al., Proc. SPIE 10809, 108090N (2018).
  344. ^ R. K. Ali et al., Proc. SPIE 10583, 1058321 (2018).
  345. ^ Q. Lin, Proc. SPIE 11327, 113270X (2020).
  346. ^ SALELE double patterning for 7nm and 5nm nodes
  347. ^ SALELE Double Patterning for 7nm and 5nm Nodes
  348. ^ J. T. Neumann et al., Proc. SPIE 8522, 852211 (2012).
  349. ^ Intel’s Xeon E5-2600 V4 Chips Feature An Insane 7.2 Billion Transistors on a 456mm2 Die,
  350. ^ J. van Schoot et al., Proc. SPIE 9422, 94221F (2015).
  351. ^ B. J. Lin, JM3 1, 7–12 (2002).
  352. ^ E. R. Hosler et al., Proc. SPIE vol. 9776, 977616 (2015).
  353. ^ أ ب B. J. Lin, J. Microlith., Microfab., Microsyst. 1, 7-12 (2002).
  354. ^ B. J. Lin, Microelec. Eng. 143, 91-101 (2015).
  355. ^ "Extending EUV Beyond 3nm". 2017-05-18.
  356. ^ "ASML presentation at 2010 International Workshop on Extreme Ultraviolet Sources" (PDF).
  357. ^ Mojarad, Nassir; Gobrecht, Jens; Ekinci, Yasin (18 March 2015). "Beyond EUV lithography: a comparative study of efficient photoresists' performance". Scientific Reports. 5 (1): 9235. Bibcode:2015NatSR...5E9235M. doi:10.1038/srep09235. PMC 4363827. PMID 25783209.

للاستزادة

روابط ذات صلة